• IC技术圈期刊 第三期

    时间:2024-04-04 20:30:32

    更换ICC2图形界面主题#ICC2点击阅读白山头一文掌握FPGA硬件逻辑和数字IC中笔试面试常考的分频问题#FPGA #分频 #数字IC和FPGA笔试面试点击阅读精进攻城狮为什么推荐使用XPM?#FPGA #XPM #IP点击阅读科学计算technomaniaXilinx面向网络和云加速推出的新产品...

  • LTspice使用笔记 week2 设置非线性电阻以及ic命令

    时间:2024-03-29 15:32:08

    week2设置非线性电阻在已知非线性负阻伏安特性的情况下, 可以使用BV或者BI来实现来实现可变电阻:以S型负阻为例:或者BV/BI的使用BV/BI的含义:Arbitrary Behavioral Voltage or Current Sources(任意行为电压或电流源)可以通过函数表达式实现多种...

  • CC6525/CC6526 高精度,低噪声,200KHz 带宽 可编程线性霍尔传感器IC

    时间:2024-03-27 15:07:09

    概述CC6525/CC6526 是两款高性能的可编程线性霍尔传感器IC,采用先进的BiCMOS 制程生产,具有霍尔系数高的优点,芯片内部包含了高灵敏度霍尔传感器,霍尔信号预放大器,高精度的霍尔温度补偿单元,振荡器,动态失调消除电路和放大器输出模块。CC6525/CC6526采用了先进的自适应霍尔温度...

  • 数字集成电路版图设计(二)——Cadence IC简单的反相器版图设计

    时间:2024-03-26 16:09:55

    接着(一)来说,之前我们画了一个反相器的简单原理图。现在我们来画一个反相器简单的版图…当然我们不考虑细节,比如参数最小尺寸什么的…因为我是萌新,我也在摸索,先画个玩玩…简单的反相器版图设计和之前一样Cell View设置如下:简单说明一下,这个当然可以调用别人的mos直接做个反相器但是我们为了熟悉操...

  • 数字IC设计了解篇(5)认识集成电路

    时间:2024-03-26 16:09:31

    什么是集成电路。集成电路(integrated circuit)是一种微型电子器件或部件。采用半导体工艺,把一个电路中所需的晶体管、电阻、电容和电感等元件及布线在一块或几块半导体晶片上制作出来,然后封装在一个管壳内,成为具有所需电路功能的微型结构。集成电路通常用字母“IC”表示,其功能是对输入的信息...

  • 德州仪器笔试和面试(模拟IC岗位实习)

    时间:2024-03-26 13:17:43

    前段时间面试德州仪器的模拟IC实习岗位,参加了笔试和面试,稍微记录一下。笔试有一道题目,问无源晶振随着频率变化,其感性和容性的转换。大概记得无源晶振两脚要接对地电容,所以谐振时应该是LC振荡,属于感性,超过输出频率范围都属于容性。面试比较尴尬,三年前,我本科找工作时,当时的面试官上来就问我项目经历,...

  • IC芯片设计项目管理002:标准化流程的应用

    时间:2024-03-26 13:09:01

    IC芯片设计项目管理002:标准化流程的应用1、IC设计领域的SOPSOP(standard of process)指的是一种标准化的流程,它能够有效提高工作效率,保证产品质量。SOP可以分为横向和纵向。纵向指得是为了完成某个事情,你必须要完成的步骤。IC设计流程:规格制定、详细设计、HDL编码、仿...

  • 基于ChipSmith的逆向(反向)模拟IC设计流程

    时间:2024-03-26 13:04:07

    目录序言·········································3    壱、 提图准备································4    弐、 开始提图·······························27    参、 回到Cadence...

  • 数字IC设计了解篇(9)初识数字芯片验证

    时间:2024-03-26 11:51:07

    什么是验证及验证方法学?芯片验证就是采用相应的验证语言,验证工具,验证方法,在芯片生产之前验证芯片设计是否符合芯片定义的需求规格,是否已经完全释放了风险,发现并更正了所有的缺陷,站在全流程的角度,它是一种防范于未然的措施。我们知道芯片流片回来测试时发现硬件bug,那时基本就无力回天了(芯片内的硬件b...

  • 常见IC设计/FPGA面试问题之:setup/hold/recovery/removal check时序分析

    时间:2024-03-24 14:26:54

    原文:http://blog.csdn.net/verylogic/article/details/14261989?reload任何学FPGA的人都跑不掉的一个问题就是进行静态时序分析。静态时序分析的公式,老实说很晦涩,而且总能看到不同的版本,内容又不那么一致,为了彻底解决这个问题,我研究了一天...

  • IC基础(五):序列检测器

    时间:2024-03-23 13:56:58

    参考:https://blog.csdn.net/Reborn_Lee/article/details/85763185序列检测器经常出现在笔试题目当中,其实其中的主要思想还是状态机的设计,因此本文也借着序列检测器这一点,讲讲状态机的设计。一、序列检测器要是实现什么功能?序列检测器主要是实现输入的一...

  • 使用ccle下载细胞系IC50数据

    时间:2024-03-18 11:21:09

    ccle数据下载进入ccle网站点击data,然后选择自己需要的数据类型然后选择下载,这里我选择了counts数据下载得到的数据:下载的数据的第一行(版本信息)和第二行(基因数和样本数)记得去掉后才能读入到R中往下拉下载药物信息,用来对应细胞系的药物响应信息下载的数据:ccle网站默认IC50值是8...

  • IC设计前端几本经典书籍

    时间:2024-03-18 08:31:07

    No.1 Writing Testbenches, Functional Verification of HDL Modelsby Janick Bergeron本书主要以HDL(verilog/vhdl)为例,详细讲述了在IC DESIGN FLOW中Verification 以及Test的设计思...

  • 【转】IC芯片封装知识

    时间:2024-03-17 18:44:07

          从foundry厂得到圆片进行减薄、中测打点后,即可进入后道封装。封装对集成电路起着机械支撑和机械保护...

  • IC笔试: 时钟约束中 clock,generated clock ,virtual clock区别与联系

    时间:2024-03-16 07:56:25

    时钟约束sdc常用的命令有:creat_clockcreat_generated_clockset_clock_uncertaintyset_clock_groups时钟的三要素:Waveform ,uncertainty 和clock groupcreat_clock主要定义一个clock的sou...

  • PBOC金融IC卡,卡片与终端交互的13个步骤,简介-第三组

    时间:2024-03-13 21:09:28

    七:终端风险管理-必选但包含可选步骤异常文件:终端检查应用主账号是否在异常文件列表(卡号黑名单)中。商户强制联机:商户可以将当前交易强制为联机处理。最低限额:控制交易当前交易金额或同一张卡片连续几笔交易累积金额超过某个数值时则要求联机授权。随机交易选择:控制交易基于当前交易的金额随机决定交易是否联机...

  • IC的ESD测试方法

    时间:2024-03-13 20:21:00

    主要有四种ESD测试组合:一.输入/输出 pin相对于VDD/VSS测试。注解:(1)所有输入/输出PAD相对于地的正向ESD脉冲测试。(2)所有输入/输出PAD相...

  • Connected system ID hash not found on target at expected base address - ic90

    时间:2024-03-12 12:50:47

    Connected system ID hash not found on target at expected base address 最近两个星期在用FPGA设计一个通信原理实验箱系统,整个系统也比较...

  • 用过的几款步进电机驱动IC

    时间:2024-03-11 22:52:00

    写在前面   步进电机,驱动起来相对容易实现,整步驱动可...

  • 【IC设计】Scala、Chisel、Chiseltest版本兼容信息

    时间:2024-03-11 08:35:19

    在maven仓库中精心整理的Scala、Chisel、Chiseltest的版本兼容信息,有了这个再也不怕sbt构建时找不到库文件了! 目前百度上我搜不到这个资料,是我从maven官网上整理的,如果对你有用希望点点赞~ scala 2.11系列兼容的chisel版本为兼容的chisel版本3.0...