【IC设计】Scala、Chisel、Chiseltest版本兼容信息

时间:2024-03-11 08:35:19

在maven仓库中精心整理的Scala、Chisel、Chiseltest的版本兼容信息,有了这个再也不怕sbt构建时找不到库文件了!
目前百度上我搜不到这个资料,是我从maven官网上整理的,如果对你有用希望点点赞~

scala 2.11系列兼容的chisel版本为兼容的chisel版本3.0.0到3.4.4,chiseltest版本为0.2.0到0.3.4 scala
scala 2.12系列兼容的chisel版本为兼容的chisel版本3.0.0到3.6.0,chiseltest版本为0.2.0到0.6.1 scala
scala 2.13系列兼容的chisel版本为兼容的chisel版本3.5.0到3.6.0,chiseltest版本为0.5.0到0.6.2 5.0.0到5.0.2 以及6.0.0

整理的Scala-Chisel-Chiseltest版本信息对应表
这里我整理出的对应表放入了网盘中,请自取:

链接:https://pan.baidu.com/s/1tk_mW7Z_RTwhFH_YLgUOsQ?pwd=z52s 提取码:z52s
–来自百度网盘超级会员V5的分享

想获取第一手资料的可以查看maven库自己看对应的版本信息,
scala的maven库链接
chisel的maven库链接