• Soc FPGA开发环境的搭建-wy

    时间:2024-04-12 14:16:27

    需安装的软件有:Quartus II、dsp builder、Soc EDS、Matlab 下面以Quartus II 15.0+dsp builder 15.0+Soc EDS 15.0+Matlab 2014a 为例详述安装及**过程。 首先说明Quartus II、dsp builder、So...

  • FPGA学习——如何利用好quartusII工具

    时间:2024-04-12 14:08:10

    QuartusII简介QuartusII软件在使用的时候可以有两种方法进行编辑代码或者程序,其分别是原理图、文本编辑器。这两种方法既可以单独进行程序的设计也可以将两者进行混合编程。QuartusII的原理图和图表模块编辑 点击File,新建一个原理图文件,得到下图 双击鼠标左键,即可弹出如下所示的选...

  • 弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩

    时间:2024-04-12 14:04:25

    弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩IP核:知识产权核,指某一方提供的、形式为逻辑单元的可重用模块。IP核通常已经通过了设计验证,设计人员以IP核为基础进行设计,可以缩短设计所需要的周期。上面一段为形式主义的解释,按照我等弟弟级别的FPGA玩家,理解就是可以将一段代码...

  • FPGA学习日记(二)使用quartusII创建ip核

    时间:2024-04-12 14:04:02

    使用quartusII创建各类ip核,操作大体上都相似,区别在于根据实际需求对ip核进行设置,下面以pll的ip核创建为例,讲述ip核的一般创建过程。step1:找到tools下的魔棒选项;step2:选择创建一个新的ip核还是导入已有的ip核;step3:当以第一次创建ip核时,搜索框中输入想创建...

  • 希尔伯特变换的FPGA实现

    时间:2024-04-12 11:57:37

    本文参考:Xilinx的 “Distributed Arithmetic FIR Filter” IP核中的Data Sheet。 “Figure 19 is the symbol for the Hilbert transform core. The DIN port is the filter ...

  • 字模提取软件的使用(pctolCD2002,基于FPGA的VGA显示汉字)

    时间:2024-04-12 10:38:35

    字模软件http://pan.baidu.com/s/1kVHrjL1(有问题的留言)设置参数 选择逐行式(指的是一个字模,按行计数) 取模方向:顺向,高位在前 本人取得字模是基于FPGA的VGA显示,而virilog hdl语言的数组是高位在前 ,符合其语法规则,如 reg [120:0] 输出数...

  • FPGA挂载NVME SSD(一)

    时间:2024-04-11 16:32:39

    FPGA挂载SATA SSD需要SATA IP,IP报价动辄十几W,开源的IP也有,但都是基于V6或者V5等老器件的。现在好了,NVME SSD大行其道,而且不需要IP,可以说为广大爱好者带来的福音。国外的FPGA大牛Jeff Johnson写了一个FPGA挂载NVME SSD的教程http://w...

  • 关于INTEL FPGA设计工具DSP Builder

    时间:2024-04-10 15:06:07

    一段时间以来,MathWorks一直主张使用Matlab和Simulink开发工具进行基于模型的设计,因为好的设计技术使您能够在更短的时间内开发更高质量的复杂软件。基于模块的设计采用了数学和可视化的方法,通过整个开发过程中使用的系统级建模(从初始设计到设计分析,仿真,自动代码生成、开发和验证)来开发...

  • 基于FPGA的flash板卡程序擦除与固化

    时间:2024-04-10 09:27:47

    转至:https://blog.csdn.net/headogerz/article/details/822511751.擦除程序,程序擦除是可以用软件,但本文主要讨论用代码实现擦除。擦除已经固化好的程序需要对flash芯片(M25P94)的时序进行描述。时序原理如图所示:    这里主要是对fla...

  • FPGA(Verilog)实现按键消抖-3.实现已消抖的按键的连续功能。

    时间:2024-04-10 08:46:19

    Verilog实现 模块端口 key_filter(inputwire clk,inputwire rst_n,inputwire key_in,//按下按键时为0output reg key_flag,//第一次按下的标志信号(已消抖)output reg key_out,//输出按键信号(已...

  • FPGA + 图像处理 (二) RGB转YUV色域、转灰度图及仿真

    时间:2024-04-09 14:38:59

    前言 具体关于色域的知识就不细说了,简单来讲YUV中Y通道可以理解为就是图像的灰度图,因此,将RGB转化为YUV是求彩色图的灰度直方图、进行二值化操作等的基础。 HDMI时序生成模块 这里先介绍一下仿真时用于生成HDMI时序,用这个时序来向数据处理模块输入数据,一是可以做到通过同步信号简化对图像数据...

  • FPGA如何生成RAM或ROM中的数据mif文件

    时间:2024-04-08 20:02:10

    mif文件就是存储器初始化文件,即memory initialization file,用来配置RAM或ROM中的数据。生成QuartusII11.0可用的mif文件,有如下几种方式:方法1:利用Quartus自带的mif编辑器优点:对于小容量RAM可以快速方便的完成mif文件的编辑工作,不需要第三...

  • 基于FPGA的HDMI视频接口设计

    时间:2024-04-08 11:54:20

    HDMI介绍         HDMI(High-DefinitionMultimedia Interface)又被称为高清晰度多媒体接口,是首个支持在单线缆上传输,不经过压缩的全数字高清晰度、多声道音频和智能格式与控制命令数据的数字接口。HDMI接口由Silicon Image美国晶像公司倡导,联...

  • Python做上位机通过JTAG控制FPGA(1):如何使用quartus的tcl

    时间:2024-04-07 22:51:27

    参考资料:quartus handbook, Tcl scripting小节quartus中有个In-System Sources & Probes的IP核,让我们可以使用spf通过jtag向FPGA写入数据和读取数据。但是如果我们要写入大量的数据,这种手动的方式是不行的,好在quartus...

  • FPGA学习之Cyclone器件资源结构知识介绍

    时间:2024-04-07 11:41:23

    FPGA学习之Cyclone器件资源结构知识介绍因为下周将进行RAM核调用及系统设计学习,这周结束我就先参考Cyclone IV器件手册,来学习FPGA器件(本文学习器件为Cyclone IV E系列器件)一些基础知识,进而熟悉器件资源定义以及设计所注意的使用细节。https://blog.csdn...

  • Cyclone V SoC FPGA学习之路第二章:硬件篇

    时间:2024-04-07 11:34:18

    Cyclone V SoC FPGA学习之路第二章:硬件篇(内部资源)前言上一章了解了《cycloneV device datasheet》,其中数据手册里重点介绍了电源要求,时序参数性能等。下面是本人针对芯片内部资源做了总结。关键词: power-on reset POR IOE io单元 on-...

  • CPLD/FPGA驱动MIPI屏

    时间:2024-04-06 08:41:39

    1、硬件电路连接2、mipi控制器设计2.1屏初始化屏初始化数据配置,数据打包。包含ECC生成器,CRC生成器。长包举例:assign dcs_data[37 ] = 8'h39;                   ----DI   assign dcs_data[38 ] = 8'h05;   ...

  • LATTICE的FPGA开发软件diamond的安装及LICENSE授权教程

    时间:2024-04-06 08:37:06

    转载自:https://hifpga.com/%E9%97%AE%E9%A2%98/691/lattice%E7%9A%84fpga%E5%BC%80%E5%8F%91%E8%BD%AF%E4%BB%B6diamond%E7%9A%84%E5%AE%89%E8%A3%85%E5%8F%8Alicen...

  • 学习日记——FPGA实验平台板级电路详解

    时间:2024-04-06 08:10:39

    一、板级电路整体架构我接下来一段时间学习的就是“勇敢的芯”FPGA 实验平台,它是特权同学和至芯科技携手打造的一款基于Altera Cyclone IV FPGA 器件的入门级 FPGA 学习平台 FPGA 实验板实物图如图所示 这是整板的外设器件的示意图。FPGA 实验板接口芯片连接如图所示二、电...

  • 基于FPGA的调制和解调技术通用架构

    时间:2024-04-06 07:20:34

    原论文为《FPGA based generalized architecture for Modulation and Demodulation Techniques》Abstract在这里,我们设计了一种调制器-解调器电路,该电路可以执行不同的调制方案,例如-AM,ASK,BPSK,FSK和QPS...