• I2C Verilog的实现(二)

    时间:2023-11-14 08:17:57

    1. 起始结束信号的判断//---------------------------------------------//start,stop condition judgement//---------------------------------------------wire start, ...

  • 用Verilog语言实现一个简单的MII模块

    时间:2023-03-06 09:40:02

    项目中要求简单地测试一下基于FPGA的模拟平台的RJ45网口,也就是需要实现一个MII或者RMII模块。看了一下官方网口PHY芯片的官方文档,还是感觉上手有点障碍,想在网络上找些参考代码看看,最后只在opencores找到了一些MAC层控制模块,代码庞大且复杂,对于初学者来说阅读起来很困难。于是在此...

  • 信号监测---verilog

    时间:2023-03-03 08:34:50

    信号监测---verilog此模块用于监测某一信号源是否持续稳定的传送。监测思路:监测信号源高电平或者低电平的宽度是否始终保持一致(一定范围内允许有误差)`timescale 1ns / 1ps/////////////////////////////////////////////////////...

  • 如何编写一个基本的 Verilog Module(模块)

    时间:2023-02-17 13:53:12

    1、概述 这篇文章主要介绍了 Verilog 在 FPGA 设计中的概念和使用方法。首先讨论使用模块(module)关键字构造 Verilog 设计的方式,以及这与所描述的硬件的关系。这包括对参数、端口(port)和例化(instantiaton)的讨论及一个完整示例。 虽然不需要为了使用它而讨论...

  • FPGA纯verilog代码实现sobel 边缘检测,提供2套工程源码和技术支持

    时间:2023-02-16 11:54:27

    目录 1、前言2、理论基础3、设计思路和架构4、图像输入5、RGB转灰度6、3x3卷积滑窗获取7、Sobel卷积运算8、FDMA图像缓存9、图像输出10、工程1详解:ov5640输入11、工程2详解:hdmi输入12、上板调试验证并演示13、福利:工程代码的获取 1、前言 边缘检测是一种常用的图像...

  • 【FPGA】Verilog:组合电路 | 3—8译码器 | 编码器 | 74LS148

    时间:2023-02-10 15:54:12

    前言:本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载 示例:编码/译码器的应用  ​ 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片 配置方式:USB-JTAG/SPI Flash 高达100MHz 的内部时钟速度 存储器:2Mbit SRA...

  • 基于Verilog HDL的状态机描述方法

    时间:2023-02-10 11:19:35

    ⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合Verilog HDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。????文章和代码已归档至【Github仓库:​​hardware-tutorial​​】,需要的朋友们自取。或者关注...

  • Verilog HDL函数与任务的使用

    时间:2023-02-07 11:21:11

    ⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合Verilog HDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。????文章和代码已归档至【Github仓库:​​hardware-tutorial​​】,需要的朋友们自取。或者关注...

  • CRC校验原理和verilog实现方法(一)

    时间:2023-02-01 03:25:35

    1.CRC简介CRC全称循环冗余校验(Cyclic Redundancy Check, CRC),是通信领域数据传输技术中常用的检错方法,用于保证数据传输的可靠性。网上有关这方面的博客和资料很多,本文尽量简洁的梳理一下它的原理。后面还会结合自己的实践经验(不多),说一说如何使用verilog语言在F...

  • CRC校验原理和verilog实现方法(二)

    时间:2023-02-01 03:25:23

    1 前言在 前面的博客  CRC校验原理和verilog实现方法(一)  中,介绍了CRC校验的原理和手动计算过程。本文说一下我在学习CRC校验FPGA实现的一点心得体会。2 线性反馈移位寄存器线性反馈移位寄存器简称LFSR,用于产生可重复的伪随机序列,也可用来实现CRC校验。LFSR主要由触发器(...

  • Verilog HDL行为级建模

    时间:2023-01-31 12:12:35

    ⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合Verilog HDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。????文章和代码已归档至【Github仓库:​​hardware-tutorial​​】,需要的朋友们自取。或者关注...

  • Verilog HDL数据流建模与运算符

    时间:2023-01-30 18:14:15

    ⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合Verilog HDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。????文章和代码已归档至【Github仓库:​​hardware-tutorial​​】,需要的朋友们自取。或者关注...

  • Verilog HDL门级建模

    时间:2023-01-30 15:00:32

    ⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合Verilog HDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。????文章和代码已归档至【Github仓库:​​hardware-tutorial​​】,需要的朋友们自取。或者关注...

  • Verilog HDL基本语法规则

    时间:2023-01-29 07:55:47

    ⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合Verilog HDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。 ????文章和代码已归档至【Github仓库:hardware-tutorial】,需要的朋友们自取。或者公众号...

  • Verilog HDL基本语法规则

    时间:2023-01-28 17:04:27

    ⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合Verilog HDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。????文章和代码已归档至【Github仓库:​​hardware-tutorial​​】,需要的朋友们自取。或者关注...

  • Xmake v2.7.6 发布,新增 Verilog 和 C++ Modules 分发支持

    时间:2023-01-28 09:07:02

    Xmake 是一个基于 Lua 的轻量级跨平台构建工具。 它非常的轻量,没有任何依赖,因为它内置了 Lua 运行时。 它使用 xmake.lua 维护项目构建,相比 makefile/CMakeLists.txt,配置语法更加简洁直观,对新手非常友好,短时间内就能快速入门,能够让用户把更多的精力集中...

  • Verilog HDL仿真常用命令

    时间:2023-01-27 20:15:24

    ⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合Verilog HDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。????文章和代码已归档至【Github仓库:​​hardware-tutorial​​】,需要的朋友们自取。或者关注...

  • FPGA:Verilog HDL程序的基本结构

    时间:2023-01-27 20:00:01

    ⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合Verilog HDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。????本文已收录于MySQL系列专栏:​​FPGA ​​ 欢迎订阅,持续更新。????文章和代码已归档至【​​Git...

  • Xmake v2.7.6 发布,新增 Verilog 和 C++ Modules 分发支持

    时间:2023-01-27 19:04:31

    Xmake 是一个基于 Lua 的轻量级跨平台构建工具。它非常的轻量,没有任何依赖,因为它内置了 Lua 运行时。它使用 xmake.lua 维护项目构建,相比 makefile/CMakeLists.txt,配置语法更加简洁直观,对新手非常友好,短时间内就能快速入门,能够让用户把更多的精力集中在实...

  • verilog 实现DES密码算法

    时间:2023-01-24 19:02:49

      1.课题概述(任务、目的、要求)    本课题的任务:利用verilog语言编写出DES密码算法,并在Quartus  ii上综合,得到RTL级电路。 本课题的目的:1. 通过本课题熟练掌握verilog语言,并理解DES加密原        理;   2. 熟悉Quartus ii  软件使用...