• 【FPGA教程案例96】控制案例1——基于FPGA的自适应PID控制器verilog实现

    时间:2022-10-07 12:56:02

    FPGA教程目录 MATLAB教程目录 目录 1.软件版本 2.自适应PID控制器原理 3.自适应PID控制器的Verilog实现 ...

  • m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构(含FPGA,matlab,文档及操作录像)

    时间:2022-10-05 18:52:57

    目录 1.源码获取方式 2.算法描述 3.部分程序 4.部分仿真图预览 1.源码获取方式 使用版本vivado2019.2 获取方式1: 点击下载链接(解压密码C+123456): m基于FPGA的半带滤波器verilog设计,对比普通结构以及乘法器复用结构 获取方式2: 如果下载链接失效,加博主...

  • verilog中24LC04B iic(i2c)读写通信设计步骤,以及程序常见写法错误。

    时间:2022-10-04 13:13:31

    板子使用的是黑金的是xilinx spartan—6开发板,首先准备一份24LC04B芯片资料,读懂资料后列出关键参数。如下:1、空闲状态为SDA和SCL都为高电平2、开始状态为:保持SCL,SDA高电平不变,SDA 保持最少4us,之后SDA为低,保持最少4us3、结束状态为:保持SCL为高、SD...

  • Verilog学习笔记简单功能实现(八)...............异步FIFO

    时间:2022-09-27 22:22:52

    基本原理:      1.读写指针的工作原理写指针:总是指向下一个将要被写入的单元,复位时,指向第1个单元(编号为0)。读指针:总是指向当前要被读出的数据,复位时,指向第1个单元(编号为0).     2.FIFO的“空”/“满”检测FIFO设计的关键:产生可靠的FIFO读写指针和生成FIFO“空”...

  • [转]System Verilog的概念以及与verilog的对比

    时间:2022-09-24 11:44:23

    原文地址;http://blog.csdn.net/gtatcs/article/details/8970489SystemVerilog语言简介SystemVerilog是一种硬件描述和验证语言(HDVL),它基于IEEE1364-2001 Verilog硬件描述语言(HDL),并对其进行了扩展,...

  • verilog中符号位的扩展问题

    时间:2022-09-24 11:44:11

    以下内容转自 艾米电子 - 使用有符号数,Verilog(http://www.cnblogs.com/yuphone/archive/2010/12/12/1903647.html)Verilog-1995中的有符号数在Verilog-1995中,只有integer数据类型被转移成有符号数,而re...

  • system verilog中的跳转操作

    时间:2022-09-24 11:34:51

    在verilog中,使用disable声明来从执行流程中的某一点跳转到另一点。特别地,disable声明使执行流程跳转到标注名字的声明组末尾,或者一个任务的末尾。verilog中的disable命令用法有很多,下面是一个简单的例子,解释了disable的作用范围: // find first bit...

  • System Verilog基础(一)

    时间:2022-09-24 11:40:03

    学习文本值和基本数据类型的笔记。1.常量(Literal Value)1.1.整型常量例如:8‘b032'd0'0'1'x'z省略位宽则意味着全位宽都被赋值。例如:wire [:] sig1;assign sig1 = '1; //sig1 = 8'b111111111.2.实型常量支持...

  • Verilog中变量位宽注意

    时间:2022-09-24 11:35:03

    Verilog中,变量定义方式可以为:reg[位宽-1:0] 数据名;reg[位宽:1] 数据名。其他变量也类似。以reg变量cnt为例,当cnt位宽为4时,可定义为reg[3:0] cnt,或者定义为reg[4:1] cnt当cnt赋值为3时,reg[3:0] cnt;cnt=3 等效为 cnt[...

  • 一段比较有意思的代码——介绍system verilog中的新增幅值语句

    时间:2022-09-24 11:35:15

    system verilog中新加了很多幅值语句,虽然都只适用于阻塞幅值,但是在某些场合中非常实用。下面是一段有意思的代码,覆盖了一些用法。 package definitions; typedef enum logic [:] {ADD,SUB,MULT,DIV,SL,SR} opcode...

  • system verilog的一些总结(从其他博客复制来的)

    时间:2022-09-24 11:34:39

    转载自 http://blog.sina.com.cn/s/blog_e7fec2630101f5t9.htmlSystemVerilog是一种硬件描述和验证语言(HDVL),它基于IEEE 1364-2001 Verilog硬件描述语言(HDL),并对其进行了扩展,包括扩充了C语言数据类型、结构、...

  • System Verilog随笔(1)

    时间:2022-09-24 11:39:51

    测试文件该怎么写?首先看一个简单代码案例:`timescale 1ns/10ps//1module test;  //2intput wire[15:0] a;output reg[15:0] b;reg clock;chip chip(.clock(.clock)); //3always #5 c...

  • [FPGA]Verilog实现JK触发器组成的8421BCD码十进制计数器

    时间:2022-09-22 11:38:26

    概述本文以异步时序计数器为例,用Verilog实现以\(JK\)触发器组成的8421BCD码十进制异步计数器,并用ModelSim软件进行仿真验证.电路分析实现8421BCD码十进制计数器可分为同步时序和异步时序,分析方法类似,本文采用较为简单的异步时序进行讲解,关于同步时序实现方法可以参考相关资料...

  • Verilog HDL那些事_建模篇笔记(实验七:数码管电路驱动)

    时间:2022-09-22 10:42:05

    1.同步动态扫描多个数码管的显示采用的是同步动态扫描方法,同步动态扫描指的是:行信号和列信号同步扫描,是一种并行操作。2.数码管驱动电路实现思路    如果要求数码管显示我们想要的数字,首先需要写一个数据接收模块,这个模块接收数据之后需要做什么样的处理呢?这时候我们会想到两个数码管,其中一个显示十位...

  • 一种Verilog代码调用C/C++函数的机制

    时间:2022-09-22 08:49:12

    Verilog PLI(Programming Language Interface )是一种Verilog代码调用C/C++函数的机制。它能让Verilog像调用一些系统调用(如$display/$stop/$random)一样调用用户编写的C/C++函数,这样我们可以用C/C++语言开始自己的s...

  • 【接口时序】6、IIC总线的原理与Verilog实现

    时间:2022-09-17 19:49:39

    一、 软件平台与硬件平台软件平台:1、操作系统:Windows-8.12、开发套件:ISE14.73、仿真工具:ModelSim-10.4-SE 、ChipScope硬件平台:1、 FPGA型号:Xilinx公司的XC6SLX45-2CSG3242、 EEPROM型号:Microchip公司的AT2...

  • Verilog中的$display和$write任务

    时间:2022-09-17 19:33:04

    $display(p1,p2, …,pn);$write(p1,p2, …,pn);这两个函数和系统任务的作用都是用来输出信息,即将参数p2到pn按参数p1给定的格式输出。参数p1通常称为:“格式控制”,参数p2至pn通常称为“输出列表”。$display自动地在输出后进行换行,$write则不是这...

  • Cordic算法——verilog实现

    时间:2022-09-15 00:09:42

    上两篇博文Cordic算法——圆周系统之旋转模式、Cordic算法——圆周系统之向量模式做了理论分析和实现,但是所用到的变量依然是浮点型,而cordic真正的用处是基于FPGA等只能处理定点的平台。只需将满足精度的浮点数,放大2^n倍,取整,再进行处理。1. 旋转模式假设要通过FPGA计算极坐标(5...

  • Verilog写一个对数计算模块Log2(x)

    时间:2022-09-11 18:10:13

    网上一个能用的也没有,自己写一个把。1.计算原理: 整数部分网上找到了一个c语言的计算方法如下:int flog2(float x) { return ((unsigned&)x>>23&255)-127;}用matlab测试了一下,得到的结果是一个log2的整数部...

  • 写自己的第二级处理器(3)——Verilog HDL行为语句

    时间:2022-09-05 22:48:19

    我们会继续上传新书《自己动手写处理器》(未公布),今天是第七章,我每星期试试42.6 Verilog HDL行为语句2.6.1 过程语句Verilog定义的模块一般包含有过程语句,过程语句有两种:initial、always。当中initial经常使用于仿真中的初始化。当中的语句仅仅运行一次,而al...