PRBS的Verilog代码设计

时间:2014-04-22 10:19:22
【文件属性】:
文件名称:PRBS的Verilog代码设计
文件大小:47KB
文件格式:PDF
更新时间:2014-04-22 10:19:22
PRBS 伪随机序列的FPGA实现,该代码是采用Verilog语言实现的。

网友评论

  • 一言难尽的一个码文件,10分满分的话只能给3分。
  • 基本没什么用
  • 不是很详细,但可以参考一下。
  • 很烂,学生做个设计还可以借鉴一下。根本不可能用于产品设计。
  • 有一定的参考价值
  • 还行吧,仅供参考,内容不是特别详细
  • 有参考价值
  • 还可以,可以用,对我有些帮助
  • 没有可参数化的prbs吗?
  • 还可以,用起来了,谢谢
  • 不错的资料,很好。
  • 不错写的很详细 对我很有借鉴价值
  • 资源很有用,非常谢谢。
  • 还好,谢谢分享。但是好像有点问题。。。
  • 不是太详细,不过写的应该没有错误,还是谢啦