yuv转化为rgb的verilog代码

时间:2016-09-17 08:45:05
【文件属性】:
文件名称:yuv转化为rgb的verilog代码
文件大小:4KB
文件格式:V
更新时间:2016-09-17 08:45:05
verilog yuv rgb 通过verilg实现YUV信号和RGB信号的转化,代码在任何FPGA器件上都是可以通用的。 output [7:0] r, g, b; input [8:0] ycont_bri, u_sat, v_sat; input href_pre_shp, PAL; input out_enb; input inter_en; input pixclk, rstn;

网友评论