高速缓存(Cache)的Verilog代码

时间:2018-06-07 05:40:58
【文件属性】:
文件名称:高速缓存(Cache)的Verilog代码
文件大小:6KB
文件格式:ZIP
更新时间:2018-06-07 05:40:58
I_Cache D_Cache Cache Verilog 计组 该工程包含数据缓存D_Cache和指令缓存I_Cache的Verilog代码和仿真文件,Cache的详细技术参数包含在.v文件的注释中。 直接相连16KB D_Cache Cache写策略: 写回法+写分配 (二路)组相连16KB I_Cache Cache替换策略: LRU I_Cache的工作就是在cpu需要指令时将指令从主存中搬进I_Cache,再传给CPU,而D_Cache在解决数据读外,还要注意数据写入的问题。本工程可以与arm.v 中的arm 核协同工作,主存使用dram_ctrl_sim。
【文件预览】:
_main.s
srandom.c
I_Cache.v
D_Cache.v
program.mif

网友评论

  • 好资源,不错不错
  • 不错的资料,可以参考。
  • 很有条理,具有很好的参考价值
  • 这个真的非常好,借鉴意义蛮大.
  • 参考一下,看看硬件怎么做的。
  • 代码清晰,感觉还不错
  • 跟我们的工程好像
  • 貌似缺点文件,比如arm.v 。。。