VCS仿真生成vpd文件(verilog)

时间:2024-01-10 16:32:50

VCS仿真生成vpd文件(verilog)

一、环境与文件

VCS仿真生成vpd文件(verilog)

二、开始仿真

1、compiler

首先在testbench中加入如下语句:

initial begin
$vcdpluson;
end

命令调用vcs

vcs -full64 -f file.f  -debug_pp +vcd+vcdpluson

参数解释

  • file.f 是你的设计文件索引

VCS仿真生成vpd文件(verilog)

  • +vcd+vcdpluson产生Synopsys的波形文件之一vpd格式的波形文件

2、simulate

./simv

生成名为vcdpluson.vpd的文件

3、使用dve查看波形

dve –vpd vcdpluson.vpd

VCS仿真生成vpd文件(verilog)