【UVM】关于UVM的路径问题

时间:2024-04-07 19:43:42

3.5 config_db机制

3.5.1 UVM中的路径

在代码清单2-3中已经介绍过,一个component(如my_driver)内通过get_full_name()函数可以得到此component的路径:

代码清单 3-91
function void my_driver::build_phase();
  super.build_phase(phase);
  $display("%s", get_full_name());
endfunction

上述代码如果是在图3-4所示的层次结构中的my_driver中,那么打印出来的值是uvm_test_top.env.i_agt.drv。
【UVM】关于UVM的路径问题
 

为了方便,图3-4中使用了new函数而不是factory式的create方式来创建实例。在这幅图中,uvm_test_top实例化时的名字是uvm_test_top,这个名字是由UVM在run_test时自动指定的。uvm_top的名字是__top__,但是在显示路径的时候,并不会显示出这个名字,而只显示从uvm_test_top开始的路径。

路径的概念与通常的层次结构不太一样,虽然基本上它们是一样的。从图3-4中的my_casen看来,drv的层次结构是env.i_agt.drv,其相对于my_casen的相对路径是env.i_agt.drv。如果drv在new时指定的名字不是drv,而是driver,即:

代码清单 3-92
drv = my_driver::type_id::create("driver");

那么drv在my_casen看来,层次结构依然是env.i_agt.drv,但其路径变为了env.i_agt.driver。在好的编码习惯中,这种变量名与其实例化时传递的名字不一致的情况应该尽量避免。