• 用verilog模拟DDS产生正弦波信号

    时间:2022-01-17 10:38:07

    前言:DDS:直接数字频率合成,正弦波0-2pi周期内,相位到幅度是一一对应的(这里我们使用放大后的整数幅度)。主要思路:个人理解,FPGA不擅长直接做数字信号计算,那样太占用片上逻辑资源,所以需要事先建立正弦波相位-幅度表,然后在时钟下,通过相位累加并用相位作为地址索引来查询正弦波信号表。正弦波相...