• Linux增加swap空间大小解决编译错误collect2:ld terminated with signal 9(或11)

    时间:2023-12-06 08:56:21

    编译llvm的时候:collect2:ld terminated with signal 9(或11)1 Creating a file for 1024 MB size you want:We will create a /mnt/1024MB.swap swap file and set the...

  • Code Signal_练习题_growingPlant

    时间:2023-12-04 10:19:21

    Each day a plant is growing by upSpeed meters. Each night that plant's height decreases by downSpeed meters due to the lack of sun heat. Initially, pl...

  • Linux进程间通信之管道(pipe)、命名管道(FIFO)与信号(Signal)

    时间:2023-12-02 18:59:53

    整理自网络Unix IPC包括:管道(pipe)、命名管道(FIFO)与信号(Signal)管道(pipe)管道可用于具有亲缘关系进程间的通信,有名管道克服了管道没有名字的限制,因此,除具有管道所具有的功能外,它还允许无亲缘关系进程间的通信;实现机制:管道是由内核管理的一个缓冲区,相当于我们放入内存...

  • linux中的signal机制(转)

    时间:2023-11-27 22:44:03

    信号是Linux编程中非常重要的部分,本文将详细介绍信号机制的基本概念、Linux对信号机制的大致实现方法、如何使用信号,以及有关信号的几个系统调用。信号机制是进程之间相互传递消息的一种方法,信号全称为软中断信号,也有人称作软中断。从它的命名可以看出,它的实质和使用很象中断。所以,信号可以说是进程控...

  • linux signal

    时间:2023-11-26 11:30:38

    1) SIGHUP本信号在用户终端连接(正常或非正常)结束时发出, 通常是在终端的控制进程结束时, 通知同一session内的各个作业, 这时它们与控制终端不再关联。登录Linux时,系统会分配给登录用户一个终端(Session)。在这个终端运行的所有程序,包括前台进程组和后台进程组,一般都属于这个...

  • boost signal2 slot_base

    时间:2023-11-19 21:28:16

    先看成员_tracked_objects,从字面上讲是被跟踪的对象,再看,相关函数 bool expired() const,这个函数是检查_tracked_objects是否已经expired.只不过是使用一些设计模式上的东西,理解也比较好理解,if(apply_visitor(detail::e...

  • 解决:[WARNING] fpm_children_bury(), line 215: child 2736 (pool default) exited on signal 15 SIGTERM after 59.588363 seconds from start

    时间:2023-10-23 20:38:50

    试用Nginx + PHP FastCGI 做WEB服务器,运行了几个月的时间,烦恼的是经常碰到Nginx 502 Bad Gateway 这个问题。参考了很多修改办法,这个502的问题一直存在,今天打算重装PHP FastCGI到PHP的安装目录里一看,发现PHP的日志文件已经有几十M的大小,打开...

  • hihoCoder1388 Periodic Signal(2016北京网赛F:NTT)

    时间:2023-08-30 21:17:32

    题目Sourcehttp://hihocoder.com/problemset/problem/1388DescriptionProfess X is an expert in signal processing. He has a device which can send a particula...

  • 母鸡下蛋实例:多线程通信生产者和消费者wait/notify和condition/await/signal条件队列

    时间:2023-02-06 14:26:45

    简介多线程通信一直是高频面试考点,有些面试官可能要求现场手写生产者/消费者代码来考察多线程的功底,今天我们以实际生活中母鸡下蛋案例用代码剖析下实现过程。母鸡在鸡窝下蛋了,叫练从鸡窝里把鸡蛋拿出来这个过程,母鸡在鸡窝下蛋,是生产者,叫练捡出鸡蛋,叫练是消费者,一进一出就是线程中的生产者和消费者模型了,...

  • Linux 信号signal处理函数

    时间:2023-01-17 13:04:02

    转自:http://www.cnblogs.com/taobataoma/archive/2007/08/30/875662.htmlalarm(设置信号传送闹钟)相关函数 signal,sleep表头文件 #include<unistd.h>定义函数 unsigned int alar...

  • 信噪比(signal-to-noise ratio)

    时间:2023-01-14 00:12:23

    SNR或S/N,又称为讯噪比。是指一个电子设备或者电子系统中信号与噪声的比例。这里面的信号指的是来自设备外部需要通过这台设备进行处理的电子信号,噪声是指经过该设备后产生的原信号中并不存在的无规则的额外信号(或信息),并且该种信号并不随原信号的变化而变化。同样是“原信号不存在”还有一种东西叫“失真”,...

  • dbus/Qt移植arm:No such signal ::DeviceAdded(QString) 和 system_bus_socket不存在 解决

    时间:2023-01-14 00:11:59

    qt利用dbus检测U盘程序交叉编译无误后,拷贝到板子里,运行报错: "?????????QDBusInterface?" "Failed to connect to socket /usr/local/arm/dbus-1.0. 2/var/run/dbus/system_bus_socket: ...

  • 在ANSI C下设计和实现简便通用的signal-slot机制

    时间:2023-01-14 00:12:05

    http://bbs.chinaunix.net/thread-1592226-2-1.html 注:在几处发表同样的主题,希望通过讨论,接收到大家提出各种建议或意见,抛砖引玉。 在ANSI C下设计和实现简便通用的signal-slot机制      ——一种平台相关但易于移植的,lambda...

  • qt信号signal和槽slot机制

    时间:2023-01-11 22:06:38

    内容: 一、概述 二、信号 三、槽 四、信号与槽的关联 五、元对象工具 六、程序样例 七、应注意的问题信号与槽作为QT的核心机制在QT编程中有着广泛的应用,本文介绍了信号与槽的一些基本概念、元对象工具以及在实际使用过程中应注意的一些问题。 QT 是一个跨平台的C++ GUI应用构架,它提供了丰富的窗...

  • 使用signal、setjmp、longjmp进行Linux/Android C异常处理

    时间:2023-01-10 19:28:58

    #include <stdio.h> #include <stdlib.h> #include <signal.h> #include <setjmp.h> jmp_buf sigsegv_buf; void sigsegv_callback(int...

  • iOS-创建自己的Signal工具类(一)

    时间:2023-01-08 19:45:51

    本文首发地址 之前说都是使用,如果有不明白的地方可以查看 iOS深入了解ReactiveCocoa的使用(一) iOS深入了解ReactiveCocoa的使用(二) 这两篇文章。 下载DEMO 最近看一些招聘信息的时候,尽然有人提到熟悉ReactiveCocoa。并且还是所谓的加分项。对于...

  • 条件变脸pthread_cond_signal丢失问题

    时间:2023-01-06 08:55:49

    直接上代码:static bsem_t bsem;void* t1(void *arg){ /*printf("enter task 1\n");*/ /*while(1)*/ /*{*/ /*sleep(2);*/ bsem_post(&bse...

  • 生产者与消费者(二)---await与 signal

    时间:2023-01-01 10:47:28

    前面阐述了实现生产者与消费者问题的一种方式:wait() / notify()方法,本文继续阐述多线程的经典问题---生产者与消费者的第二种方式:await() / signal()方法。await() / signal()方法在JDK5.0之后,Java提供了更加健壮的线程处理机制,包括同步、锁定...

  • C语言复杂声明-void (*signal(int sig, void (*handler)(int)))(int);

    时间:2022-12-21 21:06:21

    问题提出请分析此声明:void (*signal(int sig, void (*handler)(int)))(int);求解过程在对上面的例子作分析之前,我们需要了解C语言的声明优先级,《C专家编程》P64原文如下:规则A规定了要按照优先级来解释声明(算是一句废话)。规则C请参照本人上一篇笔记:...

  • [NOIP2017模拟]Fancy Signal Translate

    时间:2022-12-17 13:28:22

    题目描述 FST是一名可怜的 OIer,他很强,但是经常 fst,所以 rating 一直低迷。 但是重点在于,他真的很强!他发明了一种奇特的加密方式,这种加密方式只有OIer 才能破解。 这种加密方式是这样的:对于一个 01 串,他会构造另一个 01 串,使得原串是在新串中没有出现过的...