SystemVerilog语言教程

时间:2021-12-17 13:16:24
【文件属性】:
文件名称:SystemVerilog语言教程
文件大小:6.1MB
文件格式:PDF
更新时间:2021-12-17 13:16:24
FPGA systemVerilo SystemVerilog是一种硬件描述和验证语言(HDVL),它基于IEEE 1364-2001 Verilog硬件描述语言(HDL),并对其进行了扩展,包括扩充了C语言数据类型、结构、压缩和非压缩数组、 接口、断言等等,这些都使得SystemVerilog在一个更高的抽象层次上提高了设计建模的能力。SystemVerilog由Accellera开发,它主要定位在芯片的实现和验证流程上,并为系统级的设计流程提供了强大的连接能力。

网友评论