verilog 图片翻转

时间:2013-12-27 09:26:47
【文件属性】:
文件名称:verilog 图片翻转
文件大小:267KB
文件格式:DOC
更新时间:2013-12-27 09:26:47
verilog 图片翻转 用verilog语言描述实现把图像(256*256像素)顺时针旋转90度。

网友评论

  • Arnold shit布置的作业,答案仅供参考,需要测试程序的请百度 Verilog 图片翻转 郑蔚。。。
  • 實例蠻好 不過最后的程序貌似是VHDL的 不是Verilog!~