vhdl 状态机设计

时间:2013-01-01 05:02:10
【文件属性】:
文件名称:vhdl 状态机设计
文件大小:35KB
文件格式:DOC
更新时间:2013-01-01 05:02:10
vhdl 状态机 1)依据具体的设计原则,确定是采用Moore型状态机还是Mealy型状态机; (2)分析设计要求,列出状态机的所有状态,并对每一个状态进行状态编码; (3)根据状态转移关系和输出函数画出所要设计状态机的状态图; (4)根据所画的状态图,采用硬件描述语言对状态机进行描述。

网友评论