VHDL语言描述8-3优先编码器

时间:2013-12-16 16:44:00
【文件属性】:
文件名称:VHDL语言描述8-3优先编码器
文件大小:978B
文件格式:TXT
更新时间:2013-12-16 16:44:00
优先编码器 VHDL语言编辑的8-3优先编码器,可以用它来构成16-4编码器

网友评论