开源AMBA APB VIP

时间:2022-12-09 17:35:26
【文件属性】:
文件名称:开源AMBA APB VIP
文件大小:149KB
文件格式:ZIP
更新时间:2022-12-09 17:35:26
AMBAAPBVIP 当今的SoC芯片,普遍包含大量的工业标准接口同外围设备相连。 在验证设计过程中,这些接口被用于和测试testbench相连接。这些沟通桥梁,被称为 Verification IP (VIP) 的模块实现。Verification IP (VIP) 是一种特殊的IP Core。它将一个接口的BFM 和 Test Harness features 结合在一起。VIP在芯片验证中的应用场景众多,不管是在IP Level还是在SoC Level随处可见它的身影。拥有VIP,无惧芯片设计挑战。而在当今的芯片领域,用的最多的可能还是标准总线APB/AHB/AXI等。提到VIP,估计大家最先想到的就是Cadence和Synopsys了。没错,他们是目前最大的两家VIP提供商。不过,那是商业VIP。商业VIP虽好,但是贵呀!很多小公司的预算有限,很多时候都舍不得买,而我们个人想学习用那就更是买不起了。不过好消息来了,我找到一些高质量的开源AMBA VIP,今天就分享给大家,供大家学习研究那是妥妥的没问题,有的甚至都已经成功的被用到一些公司的验证环境了。
【文件预览】:
apb_vip-master
----apb_tb_files()
--------apb_slave_sequencer.sv(1KB)
--------apb_env_config.sv(2KB)
--------apb_slave_agent.sv(3KB)
--------apb_slave_agent_config.sv(2KB)
--------apb_transaction.sv(2KB)
--------apb_master_monitor.sv(3KB)
--------apb_env.sv(4KB)
--------Readme.txt(65B)
--------apb_test.sv(2KB)
--------apb_master_sequencer.sv(2KB)
--------apb_master_agent.sv(3KB)
--------apb_master_sequence.sv(3KB)
--------apb_virtual_sequencer.sv(2KB)
--------apb_master_driver.sv(4KB)
--------apb_if.sv(3KB)
--------apb_slave_driver.sv(5KB)
--------apb_slave_monitor.sv(3KB)
--------apb_scoreboard.sv(2KB)
--------apb_master_agent_config.sv(2KB)
--------apb_slave_sequence.sv(3KB)
----DOC()
--------APB_Verification_DOC_draft.docx(156KB)
--------readme.txt(101B)
----LICENSE(11KB)
----CONTRIBUTING.md(603B)
----CODE_OF_CONDUCT.md(3KB)
----README.md(43B)

网友评论