ram_dual.v

时间:2022-09-18 11:58:11
【文件属性】:
文件名称:ram_dual.v
文件大小:391B
文件格式:V
更新时间:2022-09-18 11:58:11
Verilog 双口RAM分伪双口RAM(Xilinx称为Simple two-dual RAM)与双口RAM(Xilinx称为true two-dual RAM),伪双口RAM,一个端口只读,另一个端口只写,且写入和读取的时钟可以不同,位宽比可以不是1:1;而双口RAM两个端口都分别带有读写端口,可以在没有干扰的情况下进行读写,彼此互不干扰0;

网友评论