告警器设计

时间:2014-11-20 04:57:50
【文件属性】:
文件名称:告警器设计
文件大小:352KB
文件格式:PPT
更新时间:2014-11-20 04:57:50
告警器 设计 VHDL 设计一种告警器,告警时间在每天 6点钟、23点钟,告警信号为声音,声音持续1分钟。

网友评论