Quartus II 调用ModelSim 仿真

时间:2012-09-15 04:29:36
【文件属性】:
文件名称:Quartus II 调用ModelSim 仿真
文件大小:322KB
文件格式:PDF
更新时间:2012-09-15 04:29:36
Quartus 调用 ModelSim 下面是基于在Altera Quartus II 下如何调用ModelSim 进行仿真的一个实例。 不能否认,Quartus II(我用的7.0)无法像ISE 那样方便的直接调用ModelSim, 而是需要额外的做一些工作。这确实给我们的仿真调试带来了一些不便。特权同 学也是在摸索了好久以后才彻底搞定这个问题,下面分享下,力求明白易懂,让 大家少走一些弯路。

网友评论