vhdl实例,好东西

时间:2013-10-05 04:07:10
【文件属性】:
文件名称:vhdl实例,好东西
文件大小:1.22MB
文件格式:RAR
更新时间:2013-10-05 04:07:10
vhdl 实例 vhdl实例,好东西,希望大家支持,绝对的好东西
【文件预览】:
第8章程序部分
----第8章程序部分()
--------8.7 TLC7524接口电路程序.doc(23KB)
--------8.12 MASK调制VHDL程序及仿真.doc(60KB)
--------8.16 频率计程序设计与仿真.doc(147KB)
--------8.3 LCD控制VHDL程序与仿真.doc(26KB)
--------8.17 采用等精度测频原理的频率计程序与仿真.doc(172KB)
--------8.14 MPSK调制与解调VHDL程序与仿真.doc(123KB)
--------8.8 URAT VHDL程序与仿真.doc(86KB)
--------8.13 MFSK调制VHDL程序及仿真.doc(90KB)
--------8.21 自动售货机VHDL程序与仿真.doc(200KB)
--------8.9 ASK调制与解调VHDL程序及仿真.doc(67KB)
--------~$4 ADC0809 VHDL控制程序.doc(162B)
--------8.2 LED控制VHDL程序与仿真 2004.8修改.doc(34KB)
--------8.11 PSK调制与解调VHDL程序及仿真.doc(113KB)
--------8.18 电子琴程序设计与仿真 2004.8修改.doc(107KB)
--------8.10 FSK调制与解调VHDL程序及仿真.doc(86KB)
--------8.18 电子琴程序设计与仿真.doc(106KB)
--------8.3 LCD控制VHDL程序与仿真 2004.8修改.doc(32KB)
--------8.4 ADC0809 VHDL控制程序.doc(24KB)
--------8.22 出租车计价器VHDL程序与仿真 2004.8修改.doc(60KB)
--------8.20 电子时钟VHDL程序与仿真.doc(115KB)
--------8.19 电梯控制器程序设计与仿真.doc(252KB)
--------~$6 DAC0832 接口电路程序.doc(162B)
--------~$16 频率计程序设计与仿真.doc(162B)
--------8.15 基带码发生器程序设计与仿真.doc(78KB)
--------8.22 出租车计价器VHDL程序与仿真.doc(138KB)
--------8.6 DAC0832 接口电路程序.doc(21KB)
--------8.2 LED控制VHDL程序与仿真.doc(34KB)
--------8.24 步进电机定位控制系统VHDL程序与仿真.doc(31KB)
--------8.23 波形发生程序.doc(61KB)
--------8.5 TLC5510 VHDL控制程序.doc(21KB)

网友评论