三步教你用Verilog写一个CPU:第三步

时间:2022-08-27 19:25:38
【文件属性】:
文件名称:三步教你用Verilog写一个CPU:第三步
文件大小:33KB
文件格式:ZIP
更新时间:2022-08-27 19:25:38
源码 工具 NULL 博文链接:https://marshal-r.iteye.com/blog/2170104
【文件预览】:
CPU设计代码
----第一步()
--------pcpu.v(9KB)
--------def.v(838B)
----第二步()
--------内存实现()
--------实时赋值()
--------开关选择()
--------VGA显示()
----第三步()
--------d_memory.v(454B)
--------pcpu.v(12KB)
--------top_ucf.ucf(427B)
--------def.v(838B)
--------top_test.v(1KB)
--------top.v(812B)
--------i_memory.v(2KB)

网友评论