一个有关于SPI的程序

时间:2013-05-31 03:36:41
【文件属性】:
文件名称:一个有关于SPI的程序
文件大小:833KB
文件格式:ZIP
更新时间:2013-05-31 03:36:41
SPI 是一个有关于SPI的程序,用VHDL写的,经过验证还不错,共享一下.
【文件预览】:
spi_interface.vhd
spi_master.vhd
spi_master.rpt
func_sim.do
spi_rcv_shift_reg.vhd
spi_xmit_shift_reg.vhd
spi_master.npl
work
----roc()
--------roc_v.psm(2KB)
--------roc_v.dat(317B)
--------_primary.dat(295B)
----testbench()
--------behavior.dat(10KB)
--------behavior.psm(50KB)
--------_primary.dat(139B)
----_info(3KB)
----spi_master()
--------structure.dat(273KB)
--------_primary.dat(640B)
--------structure.psm(3.11MB)
readme.doc
wave_post_color.do
wave_color.do
upcnt5.vhd
spi_master_timesim.vhd
post_sim.do
spi_master_tb.vhd
spi_master.cxt
upcnt4.vhd
spi_master.jed
spi_control_sm.vhd
sck_logic.vhd
readme.txt
uc_interface.vhd

网友评论

  • 还是不错的 具有参考性
  • 很好用,详细!
  • 真的是挺好的 对我有用