VHDL本性语句与顺序语句的理解

时间:2015-05-20 15:14:10
【文件属性】:
文件名称:VHDL本性语句与顺序语句的理解
文件大小:6KB
文件格式:TXT
更新时间:2015-05-20 15:14:10
VHDL 顺序语句 理解 VHDL的并行语句用来描述一组并发行为,它是并发执行的,与程序的书写顺序无关。 进程语句 begin 进程语句包含在结构体中,一个结构体可以有多个进程语句,多个进程语句间是并行的,并可访问结构体或实体中定义的信号。因此进程语句称为并行描述语句。 ....................

网友评论