16位二进制转BCD

时间:2013-05-05 14:23:25
【文件属性】:
文件名称:16位二进制转BCD
文件大小:2KB
文件格式:V
更新时间:2013-05-05 14:23:25
BCD 这个文件是用Verilog语言实现16位的二进制码转换为BCD码的实例

网友评论

  • 很好,代码可以使用
  • 不是16位的,但可以参考下
  • 很好用的一段代码~推荐~
  • 可以参考一下
  • 可以用作参考,还要自己修改一下
  • 当初写除法器的时候用的,本来是想要BCD码转成16进制的,但是看了这个帮助很大。
  • 我还说为什么文件这么小呢,原来直接软件打开的,不错!
  • 非常感谢,真需要啊,很实用!!