rgmii的verilog实现

时间:2021-06-23 13:42:57
【文件属性】:
文件名称:rgmii的verilog实现
文件大小:12KB
文件格式:V
更新时间:2021-06-23 13:42:57
rgmii fpga verilog iddr 使用verilog实现的rgmii接口转换时序,将在上升沿和下降沿同时传输数据转换为上升沿数据

网友评论

  • 老哥,您这代码仿真还行,实现不了吧