二进制转换成BCD码

时间:2012-09-07 05:02:42
【文件属性】:
文件名称:二进制转换成BCD码
文件大小:14KB
文件格式:DOCX
更新时间:2012-09-07 05:02:42
二进制 BCD VHDL FPGA 此代码是将二进制数转换成BCD码,提供32位转换,可自行扩展

网友评论

  • 这个看起来比较复杂。
  • 学习了,谢谢博主分享
  • 使用的是左移加3的算法
  • VHDL文件,刚学,有些看不懂
  • 设计比较新颖,感觉有点特色
  • 好像很复杂 先看看
  • VHDL的文件 正需要
  • 设计比较新颖,感觉有点特色
  • 设计比较新颖,感觉有点特色
  • 可以用 里面的文件是16进制 转换成 十进制