DC错误信息注释_design complier error report annotations

时间:2012-07-14 03:15:13
【文件属性】:
文件名称:DC错误信息注释_design complier error report annotations
文件大小:427KB
文件格式:PDF
更新时间:2012-07-14 03:15:13
DC annotation error Synthesis uid DC错误信息注释_design complier error report annotations, DC综合时的报错信息的较详细的注释, Synthesis Error Messages, uid UID-1 (error) Link command is not available. UID-2 (error) There are no designs to be linked UID-3 (warning) Can’t read link_library file ’%s’ UID-4 (error) Current design is not defined. UID-5 (error) Current design ’%s’ has no schematic. UID-。。。。。。。。

网友评论

  • 还行吧,错误列表挺多的,不过不是我想要的
  • 很详细的DC错误解释
  • 虽然文中的解释也不是很具体,但还是有些作用的
  • DC错误学懂了以后就方便多了
  • 很详细的DC错误解释,得好好看看了!
  • 5分好评,我觉得还是挺有用的!!
  • 这个解释还是挺有用的 不错
  • 很不错的资源,遗憾的是还是没有找到我要的错误信息。
  • 很详细的DC错误解释,得好好看看了!