基于verilog的帧同步检测电路设计

时间:2014-09-13 17:08:05
【文件属性】:
文件名称:基于verilog的帧同步检测电路设计
文件大小:163KB
文件格式:DOC
更新时间:2014-09-13 17:08:05
TS流检测 VERILOG 设计一检测电路,搜索帧同步码。要求在搜捕态能够正确地从数据流中提取帧同步码,在达到一定设计要求时进入稳定同步态。同时,要求帧同步检测电路具有一定的抗干扰能力,在稳定同步态发现帧失步次数超过设计要求时,系统要进入搜捕状态。

网友评论

  • 没有一点用,就写了个状态机
  • 没有测试文件 试着改了改 觉得还行
  • 很好用,但得稍微修改下
  • 很好用,有技术含量
  • 虽然功能和自己的要求不一样,但是还是很有帮助,参照着修改就可以了
  • 看一遍再跑一遍还是有点启发的。
  • 可以运行的,有点帮助。
  • 试过了。是可以跑起来的。逻辑清楚,代码简单。很不错
  • 写的很简单,适合初学者。
  • 比较严谨,很实用~