Verilog移位调整实现二进制转BCD码

时间:2012-07-06 08:28:58
【文件属性】:
文件名称:Verilog移位调整实现二进制转BCD码
文件大小:454B
文件格式:RAR
更新时间:2012-07-06 08:28:58
Verilog,二进制,BCD Verilog中用移位及调整的方法实现二进制转BCD码源码。
【文件预览】:
bintobcd.v
bintobcd1.v

网友评论

  • 还可以,挺好的
  • 基于FPGA的用Verilog语言写的二进制转BCD码,具有一定参考价值。