AHB总线协议简单介绍

时间:2023-06-18 11:48:39
【文件属性】:
文件名称:AHB总线协议简单介绍
文件大小:626KB
文件格式:DOCX
更新时间:2023-06-18 11:48:39
Verilog、uvm AHB总线协议介绍,AHB总线规范是AMBA总线规范的一部分,AMBA总线规范是ARM公司提出的总线规范,被大多数SoC设计采用,它规定了AHB (Advanced High-performance Bus)、ASB (Advanced System Bus)、APB (Advanced Peripheral Bus)。AHB用于高性能、高时钟频率的系统结构,典型的应用如ARM核与系统内部的高速RAM、NAND FLASH、DMA、Bridge的连接。

网友评论