sobel算子的verilog实现

时间:2015-10-04 04:10:21
【文件属性】:
文件名称:sobel算子的verilog实现
文件大小:10KB
文件格式:RAR
更新时间:2015-10-04 04:10:21
verilog HDL sobel 算子 基于DE2平台的sobel 算子的实现代码.其中包括了vga ,ccd 控制等程序代码。
【文件预览】:
sobel程序
----fe_fifo2.v(7KB)
----Grads.v(2KB)
----Gx_grad.v(3KB)
----fe_generater_mode3by3.v(3KB)
----Gy_grad.v(3KB)
----fe_fifo1.v(7KB)
----abs.v(830B)
----fe_data3by3.v(6KB)
----data_grads.v(2KB)

网友评论

  • 试试,参考看看
  • 大侠们下载下来的可以给小弟我发一份吗,最近csdn不知怎么了,积分被扣了就是没反应,哪位好心人下好了可以发给我一份,邮箱948035469@qq.com
  • 很好,值得看
  • 很不错的,正好毕业设计可以拿来参考。
  • 很好,很实用
  • 用了,成功改在我的板子上了,不过偶尔有bug,不知道为什么
  • 有点儿用处,但是不大
  • 能用但有缺陷
  • 用来作对比,感觉还可以
  • 看了一下,有用处,不过还有一定的缺陷
  • 已测试,可运行,但是没有注释
  • 实际测试了,可以运行
  • 实际测试了,可以运行
  • 初学可以,但是实用性不强,
  • 代码没有注释,都看不太懂耶