SPI同步总线接口的VHDL实现

时间:2013-09-20 15:22:36
【文件属性】:
文件名称:SPI同步总线接口的VHDL实现
文件大小:23KB
文件格式:VHD
更新时间:2013-09-20 15:22:36
SPI 该程序是一个可完成订制化的SPI双向总线接口,时钟相位、极性,以及分频比全部可通过寄存器进行配置,已经在ISE下通过综合,占用资源少,强烈推荐

网友评论

  • 不错,挺好的程序
  • 还好,要是是veilog的就好了