PS2键盘的VHDL程序

时间:2012-07-28 07:53:51
【文件属性】:
文件名称:PS2键盘的VHDL程序
文件大小:2KB
文件格式:TXT
更新时间:2012-07-28 07:53:51
PS2键盘 用VHDL检测扫描PS2键盘的程序,读取键值,并用一个数码管显示出来

网友评论

  • 程序可用,但是只译码了0-F 不过可以自己结合led灯逸出其它的按键代码
  • 初学者看着挺勉强,没有注释
  • 不知道是不是DE板子不对,反正我这怎么输入都没反应。 毕竟只是一个TXT,没任何的pin设置,我设置好了后还是没反应
  • 很有参考价值~只是用的板子不一样,但思想差不多
  • 那个软件中断程序里有点问题,但是可以用,按键是对应的
  • 不错,还是能看懂的,用fpga板试过能用,但是好像按键不对应。。不知道我哪里弄错了
  • 如果能够将注释说明也附上就更好了。