VHDL 常见错误及其原因分析

时间:2015-08-07 05:11:44
【文件属性】:
文件名称:VHDL 常见错误及其原因分析
文件大小:394KB
文件格式:DOC
更新时间:2015-08-07 05:11:44
VHDL 初学VHDL,往往会碰到不少问题和错误。例如:综合时出现警告和错误、编译无法通过等问题,使得设计无法实现;或者程序,综合等均通过,但不能得到正确的仿真结果,即所设计的硬件与原意要求不符等等。通过资料的收集和归纳,总结出以下一些注意事项,常见问题及错误,并提出改正方案,以供参考。

网友评论