verilog实现的累加器程序

时间:2013-03-23 09:01:21
【文件属性】:
文件名称:verilog实现的累加器程序
文件大小:635B
文件格式:NONE
更新时间:2013-03-23 09:01:21
verilog 累加器 此程序使用verilog编写的累加器,已经通过仿真验证。

网友评论

  • 一般般、、、、、、、、、、、、、、、、、、、、、、
  • 写的一般!
  • 还不错,可以借鉴一下
  • 资源不错,很有用
  • 比较简单,适合初学者
  • 你的累加器太简单了,什么都没有考虑
  • verilog编写的累加器,已经通过仿真验证,比较简单,适合初学者。
  • 正是我需要的程序,谢谢。
  • 资源不错,很有用
  • 代码具有一定的指导意义,对于入门verilog的人比较有用...
  • 比较简单,不过用帮助
  • 没啥太大用处,写的太简单了
  • 写的简单了
  • 太简单了,没什么实用价值
  • 实用的代码,程序跑过了是正确的,可以下载
  • 还可以,写的比较简单的
  • 很实用的代码,程序跑过了是正确的,可以下载