使用Vivado进行行为级仿真

时间:2022-01-09 19:06:31

使用Vivado进行行为级仿真

1.编写设计文件

 module test(
input in,
output out
); assign out = ~in; endmodule

2.编写testbench(Set as Top)

 module simu(

     );

 reg clk = ;
always # clk <= ~clk; wire out; test mytest(clk,out); endmodule

3.运行Run Simulation - Run Behavioral Simulation

使用Vivado进行行为级仿真