kururu的VHDL学习笔记

时间:2024-01-01 17:55:15

最近开始做课程设计,VHDL设计一个*空调的控制程序。所以开始学习VHDL,在这篇文章里面记录一些自己的笔记,期望对于同样的初学者有些借鉴意义~

编写VHDL所需的工具:

那自然很是quartus啦,不过除了编译和运行的软件以外,还向大家推荐一个文本编辑器sublime text 写起来很舒服的 不过需要安装VHDL插件

具体的安装方法可 google sublime text 插件,随后install里面搜索VDHL即可~

接下来是VHDL的简单写法~

首先VHDL所需包含的库

library ieee;--库声明,声明工程中用到的库,这里声明的是IEEE库
use ieee.std_logic_1164.all;
--包声明,声明工程中用到的包,这里声明的是IEEE的STD_LOGIC_1164包
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ps VHDL语言大小写不敏感

然后是元件的写法,一个元件由 entity 和 behavior两部分组成

entity中定义元件的输入输出端口,behavior则是定义元件的内在逻辑

比如以下这段示例代码

entity AND_ent is
port( x: in std_logic;
y: in std_logic;
F: out std_logic
);
end AND_ent;
architecture behav1 of AND_ent is
begin process(x, y)
begin
-- compare to truth table
if ((x='1') and (y='1')) then
F <= '1';
else
F <= '0';
end if;
end process; end behav1; architecture behav2 of AND_ent is
begin F <= x and y; end behav2;
很容易就可以看出 这是一个与门 参考http://esd.cs.ucr.edu/labs/tutorial/AND_gate.vhd