将自己写的HDL代码封装成带AXI总线的IP

时间:2023-12-18 21:48:26

将自己写的HDL代码封装成带AXI总线的IP

1.Tools->create and package IP

将自己写的HDL代码封装成带AXI总线的IP

2.create AXI4总线的IP

将自己写的HDL代码封装成带AXI总线的IP

将自己写的HDL代码封装成带AXI总线的IP

将自己写的HDL代码封装成带AXI总线的IP

将自己写的HDL代码封装成带AXI总线的IP

3.新建block design

将自己写的HDL代码封装成带AXI总线的IP

4.点击右键,选择edit in ip packager

将自己写的HDL代码封装成带AXI总线的IP

此时生成了一个新的工程:

将自己写的HDL代码封装成带AXI总线的IP

5.对生成的.v文件进行编辑

将自己写的HDL代码封装成带AXI总线的IP

6.先修改顶层文件

添加一个LED输出端口

将自己写的HDL代码封装成带AXI总线的IP

将自己写的HDL代码封装成带AXI总线的IP

其实在文件的下面还可以添加自己的逻辑:

将自己写的HDL代码封装成带AXI总线的IP

7.修改源文件

先添加端口,下面还有提示,编辑端口不要超过这条线。下面都是AXI总线的端口,不要去动它。

将自己写的HDL代码封装成带AXI总线的IP

将自己写的HDL代码封装成带AXI总线的IP

8.下一步操作

选择->Merge changes from Customization Parameters Wizard

将自己写的HDL代码封装成带AXI总线的IP

点击->Re-Package IP ,封装完IP后工程自动关闭

将自己写的HDL代码封装成带AXI总线的IP

9.重新调用自己设计的IP,LED的引脚就可以出来了

将自己写的HDL代码封装成带AXI总线的IP

10.为自己设计的IP添加引脚约束

将自己写的HDL代码封装成带AXI总线的IP

将自己写的HDL代码封装成带AXI总线的IP

如果不做引脚约束,在生成bit文件的时候会报错:

将自己写的HDL代码封装成带AXI总线的IP

11.自动连线

将自己写的HDL代码封装成带AXI总线的IP

12.生成顶层文件

将自己写的HDL代码封装成带AXI总线的IP

剩下的事情就是综合布局布线了。

如果需要修改自己封装的IP核的逻辑或者是端口,只需要进行重复操作:

点右键->edit in ip packager

将自己写的HDL代码封装成带AXI总线的IP

.tmp可以理解成一个暂时性的工程,点击OK,新的vivado工程界面弹开了,这个就是我们曾经在封装IP核使用过的那个工程,如果对自定义IP核里面的逻辑和端口定义需要修改,自己在新弹出的这个工程里面编辑即可。

将自己写的HDL代码封装成带AXI总线的IP

转载:https://www.cnblogs.com/chensimin1990/p/7090861.html#undefined

xilinx官网参考:

https://www.xilinx.com/video/hardware/packaging-custom-ip-integrator.html

https://www.xilinx.com/video/hardware/creating-an-axi-peripheral-in-vivado.html