Modelsim10.4中UVM验证环境的搭建

时间:2024-05-19 22:23:39

本例子中使用张强的《UVM实战》中的代码:

        example_and_uvm_source_code\puvm\src\ch2\section2.2\2.2.1\my_driver.sv

        example_and_uvm_source_code\puvm\src\ch2\section2.2\2.2.1\top_tb.sv

        example_and_uvm_source_code\puvm\src\ch2\dut\dut.sv

上述代码下载链接:https://download.****.net/download/sinde5/10516409

上述三个文件组成了一个简单的UVM测试环境,包括待测设备dut,测试driver,以及测试顶层top_tb。

1. 我们将上述代码复制到我们的工程文件夹:D:/modelsim10.4/Project/uvm0

2. 打开Modelsim10.4并改变Work lib的目录到D:/modelsim10.4/Project/uvm0

    如下图,选择File-->Change Directory

Modelsim10.4中UVM验证环境的搭建

选择完成之后,可以看到Work lib的Path已经变到工程目录了

Modelsim10.4中UVM验证环境的搭建

3. 在Modelsim下方的Transcript栏中输入: vlib work

Modelsim10.4中UVM验证环境的搭建

4. 设置环境变量:UVM目录和工作目录:

set UVM_HOME D:/Modelsim10.4/verilog_src/uvm-1.1d

set WORK_HOME D:/Modelsim10.4/Project/uvm0

5. 将示例代码进行编译:

 vlog +incdir+$UVM_HOME/src  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv  $WORK_HOME/dut.sv top_tb.sv

6. 运行仿真:

vsim -novopt -c -sv_lib D:/Modelsim10.4/uvm-1.1d/win64/uvm_dpi  work.top_tb

Modelsim10.4中UVM验证环境的搭建

7. 完成上述步骤,就进入到Modelsim的仿真环境,可以进行仿真验证工作了

        Modelsim10.4中UVM验证环境的搭建