VIVADO调试记录1:dcp文件的生成方法

时间:2024-04-02 08:50:28

dcp文件可实现IP加密,同时便于子工程管理,相比于其他封装形式,dcp文件的子工程中可包含Xilinx官方IP或Blockdesign等模块。现将生成dcp文件的方法作如下介绍:

1.综合环境准备:打开需要封装的子工程,右键SYNTHESIS选项卡,选择“Synthesising Setting” 选项卡,在Options选项栏中的More Options栏中输入编译指令“-mode out_of_context”;
VIVADO调试记录1:dcp文件的生成方法

VIVADO调试记录1:dcp文件的生成方法
2.对子工程进行综合,等待综合成功结束;

3.选择Open Sythesized Design选项,打开综合结果;

4.正确打开综合结果后,在Tcl Console窗口中键入生成指令“write_checkpoint name_of_subprj.dcp”,其中斜体部分可按需求修改;

5.执行指令过程中Tcl Console窗口会显示执行记录,执行结束后会指示dcp文件生成的位置。

打完收工