Vivado 在线调试

时间:2024-04-02 08:48:28

之前的博文中介绍了ila核的使用,但是在使用了多次之后发现,ila核在使用过程中会出现一下问题,而且使用起来比较麻烦。所以在这里介绍另一种快捷的在线调试方法。

一:工程编译成功之后点击Open Synthesized Design

二:添加debug信号

Vivado 在线调试


点击next,到如下界面,点击图中按钮

Vivado 在线调试


点击下图中的ok

Vivado 在线调试


进入到信号添加界面,选择要添加的信号,并点击ok

Vivado 在线调试

点击next,可进入如下界面,可设置采样深度,即采样的点数,设置完之后点击到finish,然后生成比特流文件。

Vivado 在线调试


三、打开Hardware Manager

点击Auto Connect,连接上板卡,在设备一栏右击,program device,将信号拖入波形窗口中,点击触发即可观察。

Vivado 在线调试

即可在波形窗口中观察波形:

Vivado 在线调试

注意:要设置触发条件,否则信号只会在点击波形时触发一次,而不是时钟信号而连续触发。