Origin—在曲线的每个峰值处均使用高斯函数进行拟合

时间:2024-03-31 10:49:41


---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

1、导入数据

Origin—在曲线的每个峰值处均使用高斯函数进行拟合

2、选中B列并创建一个线条图。

Origin—在曲线的每个峰值处均使用高斯函数进行拟合

3、选择拟合使用的函数,如高斯

在图形窗口处于活动状态时,单击Analysis: Peaks and Baseline: Multiple Peak Fit。这将打开“Multiple Peak Fit”对话框。将“Peak Function”下拉列表设置为“Gauss”,然后单击“确定”。
Origin—在曲线的每个峰值处均使用高斯函数进行拟合

4、选择峰值

将在图形窗口中打开“Get Points”对话框。请注意,可以在窗口中重新定位此对话框。双击峰值中心将其选中。如下图所示,总共选择7个峰值,包括两个隐藏的峰值:(我感觉只有一个隐藏的峰值啊)
Origin—在曲线的每个峰值处均使用高斯函数进行拟合

5、点击拟合并查看结果

选择所有七个峰值后,单击“调整”按钮。将向工作簿中添加适合报告。
Origin—在曲线的每个峰值处均使用高斯函数进行拟合
ref:origin_tutorial_2019