玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制

时间:2024-03-27 14:48:04

特权同学玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制

玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
1 Zynq的GPIO概述
参考文档《玩转Zynq-基础篇:Zynq PS的GPIO外设.pdf》。
关于EMIO和MIO,这里多啰嗦两句。简单的理解,MIO是PS系统原生的,和PL没啥关系;但是EMIO是PS借PL的引脚用,所以它们就有千丝万缕的关系,PL的工程里面势必要做点什么。MIO在PS系统里面分配好对应的信号功能后,在PL的代码里面就不需要写任何的逻辑,甚至引脚分配都不需要(生成的PS系统会自动分配)。但是EMIO就要在PS的系统中引出,然后在PL顶层源码中申明端口类型,做引脚分配(因为EMIO用的就是PL的引脚)。
关于MIO和EMIO的关系,更形象直接的可以示意如图所示。MIO和EMIO都是PS的一部分,但是MIO可以直接连接到Zynq芯片的引脚上,和PL无关;而EMIO需要通过PL的IO才能连接到Zynq芯片的引脚上。EMIO的存在,其实是给用户更大的PS的GPIO扩展的灵活性,这也是FPGA+ARM独有的架构。因此,EMIO怎么用,很有必要好好玩玩。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
2 EMIO的PS系统配置
打开ZYNQ7 Processing System的配置页面Peripheral I/O Pins,勾选GPIO EMIO选项,查看Periperals最右侧的EMIO列,对应的EMIO显示按钮变绿了,则表示该EMIO功能开启,EMIO引脚将会引出到PS系统。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
完成配置后,回到ZYNQ7系统框图中,可以看到多了一个名为GPIO_0的接口。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
展开GPIO_0接口,实际上有3组64bit的信号,分别代表input(GPIO_I)、output(GPIO_O)和inout(GPIO_T)。在实际使用中,根据我们的引脚方向,连接对应位的引脚即可。例如,GPIO_I[0]、GPIO_O[0]和GPIO_T[0]实际上对应的都是一个EMIO,如果我只用这个EMIO连接一个作为output的LED,那么我在PL上只要引出GPIO_O[0]并作引脚分配就可以了。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
选中GPIO_0,右键单击,弹出菜单中点击Create Interface Port…,引出一个port用于PL工程的顶层连接。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
可以命名为GPIO_EMIO。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
完成GPIO_EMIO引出后如图所示。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
3 EMIO在PL的使用和引脚分配
在Sources --> IP Sources中选择Block Designs -->zstar_zynq_ps,单击右键,弹出菜单中选中Generate Output Products…。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
接着我们可以再次操作,单击右键菜单的Create HDL Wrapper…选项。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
打开后,看到PS系统的例化部分如图所示,可以复制到我们的新建的顶层源码中,在顶层源码中重新设置接口映射。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
在实例zstar_ex52中,我们直接使用PS系统生成的zstar_zynq_ps_wrapper.v模块做顶层模块,但是这样的方式其实对于含有PL代码的工程来说灵活性不够好。我们更建议大家把这个zstar_zynq_ps_wrapper.v模块里面例化的PS系统例化到我们自己为PL工程专门新建的顶层源码中,在这个顶层源码中,我们也可以例化其它的PL逻辑模块。
废话不说了,先新建一个zstar.v的Verilog模块,然后做如下编辑。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
若要将新建的zstar.v模块作为PL工程的顶层模块,需要先选中zstar.v模块,右键单击选中菜单项Set as Top。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
同时需要打开Sources --> Constraints - constrs_1下的约束文件zstar.xdc,增加led[2:0]的引脚约束。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
4 导出PS硬件配置和新建SDK工程
参考文档《玩转Zynq-工具篇:导出PS硬件配置和新建SDK工程.pdf》。
SDK中,新建Empty的模板工程,名称为GPIO_EMIO_project。

5 EMIO控制编程
展开新建的工程GPIO_EMIO_project,选中文件夹src,单击右键,弹出菜单中选择New --> Source File。
新建一个名为main.c的Default C source template源文件。
输入EMIO控制的bit2-0引脚的程序,程序中实现EMIO0、EMIO1和EMIO2循环拉高,逐次点亮3个LED中的1个。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制
6 板级调试
在Zstar板子上,设置跳线帽P3为JTAG模式,即PIN2-3短接。
连接好串口线(USB线连接PC的USB端口和Zstar板的UART接口)和Xilinx下载线(下载器连接PC的USB端口和Zstar板的JTAG插座)。使用5V电源给板子供电。
接着参考《玩转Zynq-工具篇:SDK在线运行裸跑程序.pdf》将zstar.bit文件和GPIO_EMIO_project.elf文件烧录到Zynq中运行起来。
程序运行起来后,我们就可以看到Zstar板上PL侧的3个LED指示灯D3、D2和D1逐个闪烁起来。
玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制