ZYNQ使用PS+PL点灯总结

时间:2024-03-26 07:05:41

初次使用ZYNQ中的纯PL点亮了LED等,后来知道了可以使用PS和PL联合使用点LED。但是尝试了N此,在网上找了很多资料都点不亮LED,也不知道问题出在哪里。网上很多ZEDBoard开发板,有官方提供的配置文件。很多设置都不知道怎么回事,网上提供的教程说明也不详细。终于在我快放弃的时候,看了米联客的入门教程视频后理解了怎么设置后。点亮了LED灯。下面是这次点灯的的总结。

1.新建工程

ZYNQ使用PS+PL点灯总结

选择开发板上ZYNQ的型号。

2.创建Block Design

ZYNQ使用PS+PL点灯总结

3.PS的设置

PS的设置非常重要,设置不正确,搭建的硬件会出问题。在这里主要设计系统时钟(PS输入时钟),DDR(DDR的型号和位宽设置不正确在应用设计的时候烧录软件会报错误),IO口的电压。ZYNQ使用PS+PL点灯总结

上图中红框里输入的就是PS输入的时钟,我的开发板上使用的是50MHz。

ZYNQ使用PS+PL点灯总结

按上图设置DDR。我的位宽是16bit。

ZYNQ使用PS+PL点灯总结

按上图设置Bank0,和Bank1的IO口电压。

ZYNQ使用PS+PL点灯总结

设置完了点击OK,然后点击上图的箭头的Run Block Automation 自动连线。

接下来是是生成Generate Output Products,和生成Create HDL Wrapper。完成之后编译生成bit文件。

剩下的可以参考下面这篇博客。

https://blog.csdn.net/mculover666/article/details/83051767