modelSim仿真时出现所有模块端口,寄存器全为未知状态‘x’的问题

时间:2024-03-20 13:30:33

启动modelSim仿真时出现所有模块端口,寄存器如下图所示。仿真的结果全为‘x’

modelSim仿真时出现所有模块端口,寄存器全为未知状态‘x’的问题

'x'表示未知状态,在仿真时选中最顶层模块的文件,单击右键选择simulate without Optimization(不优化)。结果如下,这是一个上升沿触发的8bit计数器。具体的原因是因为优化问题,还是同时选中顶层模块文件仿真,还是优化设置的问题。以后再细细探究,错误之处希望指正批评。

modelSim仿真时出现所有模块端口,寄存器全为未知状态‘x’的问题