case/casez/casex 的区分与使用

时间:2022-05-04 14:18:43

参考:http://www.cnblogs.com/poiu-elab/archive/2012/11/02/2751323.html

与  verilog数字系统设计基础

一般来说,使用最多的是CASE语句,casez和casex基本上很少使用,不过因为它们的功能强大,不能不学会它的使用。

一般性的常识是使用casez,强烈的建议不要使用casex。首先要明确的是'?'代表的不是don't care,而是'z'。再有就是case/casez/casex其实都是可综合的,这一点也要记住。

区分:

case语句的表达式的值有4中情况:0、1、z、x。4种是不同的,故表达式要严格的相等才可以操作分支语句。

casez语句中的表达式情况有三种:0、1、x。不用关心z,z可以和任何数值相等,即z =0.z= 1,z=x;

casex语句的表达式情况有二种:0、1.不用关心x和z。即x=z=0,x=z=1.

另外表达式的值是按从上到下的顺序来与分支条件的比较,如果相等,则不再与下面的分支相比较而直接执行该分支的语句。

case/casez/casex 的区分与使用

实例分析看不同:

一、simulation difference

1、先看case

case/casez/casex 的区分与使用
case (sel)
2'b00: y = a;
2'b01: y = b;
2'bx0: y = c;
2'b1x: y = d;
2'bz0: y = e;
2'b1?: y = f;
default : y = g;
endcase
case/casez/casex 的区分与使用

不同的sel,对应

case/casez/casex 的区分与使用
Result:  
    sel     y  case item
    00      a  00
    11      g  default
    xx      g  default
    x0      c  x0
    1z      f  1?
    z1      g  default
case/casez/casex 的区分与使用

为啥呢?就是因为case会认出每种情况,1/0/z/x,所以就得到了上面的结果。很是严格。

2. casez,就是会把z/?匹配成任意,也会把任意匹配成z/?的。

case/casez/casex 的区分与使用
casez (sel)
2'b00: y = a;
2'b01: y = b;
2'bx0: y = c;
2'b1x: y = d;
2'bz0: y = e;
2'b1?: y = f;
default: y = g;
endcase
case/casez/casex 的区分与使用

对应的

case/casez/casex 的区分与使用
Result:  
    sel     y  case item
    00      a  00
    11      f  1?
    xx      g  default  
    x0      c  x0 (would have matched with z0(item 5) if item 3 is not present.)
    1z      d  1x (would have matched with z0(item 5) & 1?(item 6) also.)
    z1      b  01 (would have matched with 1?(item 6) also.)
case/casez/casex 的区分与使用

首先,case的描述,匹配都是从上到下进行的,如果使用了casez,看上面的casez的列表,只要输入有z/?的话,就能和任意匹配,只要列表的index项有z/?,就能匹配任意项,再对照上面的例子,就明了了。

3、casex呢,再来

case/casez/casex 的区分与使用
casex (sel)
2'b00 : y = a;
2'b01 : y = b;
2'bx0 : y = c;
2'b1x : y = d;
2'bz0 : y = e;
2'b1? : y = f;
default : y = g;
endcase
case/casez/casex 的区分与使用

结果呢?

case/casez/casex 的区分与使用
Result:
sel y case item
00 a 00
11 d 1x (would have matched with 1? also)
xx a 00 (would have matched with 1? also)
x0 a 00 (would have matched with all items except 01)
1z c x0 (would have matched with all items except 00,01)
z1 b 01 (would have matched with 1x, 1? also)
case/casez/casex 的区分与使用

还是一样的道理,casex也是从上到下匹配,当出现x/z/?的输入的时候,都不会care,只管不是大大情况来匹配,上面的解释也是很容易看懂。就不多说了。

二、synthesis difference

综合的时候又是另一番景象了,因为综合工具其实都不会管你什么x/z/?之类的,他能认识什么呢?让我们再来测试一下,case/casez/casex不同写法的综合结果,例子都是同样的

1、例子1

case (sel)
2'b00 : mux_out = mux_in[0];
2'b01 : mux_out = mux_in[1];
2'b1? : mux_out = mux_in[2];
default : mux_out = mux_in[3];
endcase

aaarticlea/png;base64,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" alt="" width="300" height="196" />

aaarticlea/png;base64,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" alt="" width="314" height="198" />     aaarticlea/png;base64,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" alt="" width="312" height="194" />

2、例子2

case (sel)
2'b00 : mux_out = mux_in[0];
2'b01 : mux_out = mux_in[1];
2'b1x : mux_out = mux_in[2];
default : mux_out = mux_in[3];
endcase

aaarticlea/png;base64,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" alt="" width="302" height="187" />  aaarticlea/png;base64,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" alt="" width="295" height="201" />   aaarticlea/png;base64,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" alt="" width="287" height="186" />

通过上面两个例子我们得到的结论是:

1. Case statement will not consider for synthesis, the items containing x or z. 
2. Casez and Casex will give the same output after synthesis, treating both x, z in case items as dont cares.

就是说你的case(不是casez/casex的时候)的index列表里面的x和z,都被综合工具认为是不可达到的状态就被去掉了。

casez和casex里面的x/z都被认为是don't care,所以综合出的电路会是一致的。

三、simulation vs synthesis

例子

casez (sel)
2'b00 : mux_out = mux_in[0];
2'b01 : mux_out = mux_in[1];
2'b1? : mux_out = mux_in[2];
default : mux_out = mux_in[3];
endcase

再看simulation与synthesis的结果

+---+-----------------------------------+-----------------------------------+
|   |               casez               |               casex               |
|sel|   Pre-synthesis   Post-synthesis  |   Pre-synthesis  Post-synthesis   |
+---+-----------------------------------+-----------------------------------+
|xx |   mux_in[3]       x               |   mux_in[0]       x               |
|1x |   mux_in[2]       mux_in[2]       |   mux_in[2]       mux_in[2]       |
|0x |   mux_in[3]       x               |   mux_in[0]       x               |
|zz |   mux_in[0]       x               |   mux_in[0]       x               |
|1z |   mux_in[2]       mux_in[2]       |   mux_in[2]       mux_in[2]       |
|0z |   mux_in[0]       x               |   mux_in[0]       x               |
+---+-----------------------------------+-----------------------------------+

作者此时说了两句话就是Another interesting, very important observation is that when ever there is a mismatch, post-synthesis result will become x. During RTL simulation if sel becomes xx, casez executes default statement(which is the intended behaviour) but casex executes case item1 (which is not the intended behaviour), clearly a mismatch.

看上面的表这就能说明问题了,不管用casez还是casex,simulation和synthesis的结果都会有出入的,所以在写代码的时候,考虑到综合,casez与casex都是完全等同的了,就不必要非得纠结写哪个比较好了。

或许casez有那么一点好处,能体现在

casez (sel)
000: y = a;
001: y = b;
01?: y = c;
1??: y = d;
endcase

这样的代码,如果用case写的话

case (sel)
000 : y = a;
001 : y = b;
010,011 : y = c;
100,101,110,111 : y = d;
endcase

就是这点有点罢了~

四、summary

1、我们在写代码的时候如果用了case,那么就不要在index列表里面出现x/z/?,综合工具认不出这些,都会当做don't care
2、casez和casex综合的结果是一致的。
3、casez稍好用一些,因为它可以用来代表don't care的值
4、最重要的一点就是,casez和casex其实没有孰优孰劣

就这样,以后我用的时候没准会更多的用casez,case其实也是好东西,最好弄明白了自己真正要表达的意思是什么再动手写代码,还要深刻理解case/casez/casex到底起到的什么作用~

case/casez/casex 的区分与使用的更多相关文章

  1. 【FPGA】 007 --Verilog中 case,casez,casex的区别

    贴一个链接:http://www.cnblogs.com/poiu-elab/archive/2012/11/02/2751323.html Verilog中  case,casez,casex的区别 ...

  2. FPGA代码设计规范整理

    1.设计中的FIFO.状态机接口需要有异常恢复状态和状态上报机制,格雷码电路防止被综合电路优化掉. a)自行设计的格雷码FIFO(一般用于连续数据流跨时钟域)用Synplify综合时,为了防止被优化需 ...

  3. Verilog_Day3

    内容为书中第5章 条件语句 条件语句必须在过程块语句中使用.所谓过程块语句是指由 initial 和 always 语句引导的执行语句集合.除这两种块语句引导的begin_end块中可以编写条件语句外 ...

  4. Verilog-case、casez和casex的区别

    参考博客:https://www.cnblogs.com/guolongnv/articles/6906929.html 1.基本概念 1)?表示z,而不是“dont care” 2)区分: case ...

  5. 第六章 函数、谓词、CASE表达式 6-3 CASE表达式

    一.什么是CASE表达式 CASE表达式是一种运算功能,意味着CASE表达式也是函数的一种. 它是SQL中数一数二的重要功能.必须好好学习掌握.   CASE表达式是在区分情况时使用的,这种情况的区分 ...

  6. SQL基础教程(第2版)第6章 函数、谓词、CASE表达式:6-3 CASE表达式

    ● 虽然CASE表达式中的ELSE子句可以省略,但为了让SQL语句更加容易理解,还是希望大家不要省略. ● CASE表达式中的END不能省略. ● 使用CASE表达式能够将SELECT语句的结果进行组 ...

  7. 对Verilog 初学者比较有用的整理(转自它处)

    *作者: Ian11122840    时间: 2010-9-27 09:04                                                              ...

  8. FPGA编程—组合逻辑编码器等verilog实现

    本篇博客主要实现对组合逻辑电路的一些常用模块的实现.组合逻辑中,包括译码器,编码器,输入输出选择器,数值比较器,算法单元等.  先来实现编码器,最常用的8-3编码器,这里先讲一下要用到的case ,c ...

  9. Cracking Digital VLSI Verification Interview 第四章

    目录 Hardware Description Languages Verilog SystemVerilog 对Cracking Digital VLSI Verification Intervie ...

随机推荐

  1. atom无法安装插件的解决方法之一

    atom通过setting中无法下载插件,通过apm也无法下载插件,可能是网络.config配置的问题,不好解决. 下面的方法全手动,基本属于万金油方法: 1,在atom的setting页面中点击op ...

  2. 解决Windows下网络原因Composer安装失败问题

    由于Composer镜像都在国外,所以直接在官网下载Windows Installer后安装很多情况下是无法成功安装的. 解决办法: 1,将php添加到系统环境变量,并开启openssl扩展. 2,点 ...

  3. PAT 1019. 数字黑洞 (20)

    给定任一个各位数字不完全相同的4位正整数,如果我们先把4个数字按非递增排序,再按非递减排序,然后用第1个数字减第2个数字,将得到一个新的数字.一直重复这样做,我们很快会停在有"数字黑洞&qu ...

  4. mybatis动态SQL - like

    用'%${name}%'可以实现模糊查询,但会放开SQL注入漏洞. <when test="name != null and name!=''"> AND name l ...

  5. 总结过滤器,监听器,servlet的异同点,已经执行顺序。

    1.过滤器 Servlet中的过滤器Filter是实现了javax.servlet.Filter接口的服务器端程序,主要的用途是过滤字符编码.做一些业务逻辑判断等.其工作原理是,只要你在web.xml ...

  6. markdown语法(看这张图就够了)

    这是*的一张图,基本就够用了 https://en.wikipedia.org/wiki/Markdown#Example

  7. adb server is out of date&period; killing完美解决

    原本是想跑monkey测试的,可使用adb命令时提示:adb server is out of date. killing... 出现这个问题的原因是:adb使用的端口5037被占用了.下面我们说下如 ...

  8. Confluence 6 企业环境或者网站托管的 Java 配置策略

    Confluence 需要依赖一些 Java 的库才能够允运行.一些依赖的 Java 库应用了 Java 的语言特性,但是又是被 Java 的安全策略所限制的. 这个通常来说是不会造成任何问题的.默认 ...

  9. 使用应用链接来连接 Jira 和 Confluence 6

    请参考 Linking to Another Application 页面中的内容来设置如何让 Confluence 连接到你的 Jira 应用,这个过程只需要一次就可以了. 如果你计划使用 Jira ...

  10. Learning-MySQL【6】:视图、触发器、存储过程、函数、流程控制

    一.视图 视图就是通过查询得到一张虚拟表,然后保存下来,下次用的直接使用即可.使用视图我们可以把查询过程中的临时表摘出来,用视图去实现,这样以后再想操作该临时表的数据时就无需重写复杂的 SQL 语句了 ...