FPGA调试技巧

时间:2023-03-10 05:43:39
FPGA调试技巧

FPGA调试技巧

八月,一直在debug, 编译,查信号,几乎没机会,也没心思停留下来看点东西,静心思考,做点笔记。今天,在硬盘上翻到了保存已久,但一直没想起来读的一本手册。这是我初入职场,决定从硬件工程师转FPGA的岗位时下载的,当时想着要是读完verilog那些事,FPGA STORY系列,出去找FPGA的工作岗位,我肯定会更有信心吧。结果呢,初次接触FPGA,很多知识还不具备,使得我读起这些书来很困难,缺乏具体的调试经验,当然也缺少对作者感同深受的那份体验,但是我能感觉到,作者对这套教程花了许多心思,深知FPGA小白,在自学这条道路上遇到的困惑,多次提出读者内心的疑问,并循序渐进的解答,这一点真的值得点赞。这种写教程的方式,真的是我非常喜欢的,也是我应该学习的,不用把逼格抬得太高,揣摩读者想知道的内容,而不只是列出自己想表达的。我甚至觉得,作者应该也是一位人际交往的高手,总能把话说到别人心坎里。

这套教程还没读一半,我就辞职了,不是因为我感觉知识学好了,而是原来的单位实在呆不下去了,离职后,我真的很快找到一个FPGA的岗位,现在想来,我当初能获得这个工作机会,只是因为全公司总人数小于5,并且没有一个人懂FPGA,还有一个很重要的因素是我有个高学历。从那以后,做FPGA开发快满两年了,这本册子一直躺在硬盘里,没有翻过。这两天,想静下心来读读书,翻开这本手册,有一点让我非常开心,因为我已经能很顺畅的阅读它,并理解里面的内容。学习真的就应当反反复复,每一次让自己进步一点点。

FPGA调试技巧

模块化设计

FPGA调试技巧

  1. 调用
  2. 完成
  3. 数据输入
  4. 数据输出

以下是一段自动启停的代码

FPGA调试技巧

FPGA调试技巧

FPGA调试技巧

模块之间的基本沟通信号

FPGA调试技巧

问答式沟通的最基本代码

FPGA调试技巧

采集时钟的频差

FPGA调试技巧

FPGA调试技巧

FPGA调试技巧

关于数据采集与计数

FPGA调试技巧

FPGA调试技巧

FPGA调试技巧

FPGA调试技巧

FPGA调试技巧

用延时来检测数据的变化

FPGA调试技巧

FPGA调试技巧

FPGA调试技巧