icape3 的使用

时间:2023-03-09 04:05:19
icape3 的使用

在FPGA中,有时需要使用用户代码重配置FPGA,配置的内容可以是flash或者是其他的来源这样FPGA的启动模式有关,在本实验中配置文件是存放在flash中。实际的操作步骤如下:

1:生成一个工程,工程的内容是led灯在闪烁。并且生成相应的mcs文件,通过jtag烧录到板卡上的 bpi flash中,等待FPGA重新加载flash的内容。如果加载成功led灯就是这个工程的闪烁状态。

创建工程,Verilog写led灯,就不说,关键是如何生成mcs文件。通过如下的两条命令:

cd E:/work/MT28QU01GAAA1E/mt28_prj/prj/flsh_cntrl/flsh_cntrl.runs/impl_1   (切换当前的工作目录,到生成工程bit的目录下)
write_cfgmem -force -format mcs -interface bpix16 -size 128 -loadbit "up 0x0 flash_cntrlor.bit" -file flash_cntrlor.mcs

关于write_cfgmem命令的参数可以百度,不同的flash是有不同的对应参数,比如这块板卡上用的是bpi * 16bit的128MB 镁光flash,起始地址对应到0x0,这也是FPGA加载flash的地址,bit的文件 名肯定是要改的,最后的是生成mcs文件的名字。

在生成mcs文件后,烧录到flash中,这里也不写,在hardmanager有add configuration memory device 添加对应的flash,和mcs文件即可。

2:在生成一个工程称工程2,工程2的内容包括led状态,但是led状态需要和工程1不一致,还包括icape3的操作。

下面是icape3的例化,因为我的目标是使用它来启动FPGA的,输出端口并没有使用。在这些信息都xilinx的ug570有介绍,接口是一个使能,一个输入数据总线,时钟,读写选择。

 ICAPE3#(
.DEVICE_ID ( 'h03628093 ) , //Specifiesthepre-programmedDeviceIDvaluetobeusedforsimulation
//purposes.
.ICAP_AUTO_SWITCH ( "DISABLE" ) , //EnableswitchICAPusingsyncword
.SIM_CFG_FILE_NAME ( "NONE" ) //SpecifiestheRawBitstream(RBT)filetobeparsedbythesimulation
//model
)
ICAPE3_inst(
.AVAIL ( icap_vail ) , //1-bit output: Availability status of ICAP
.O ( icap_dt_out ) , //32-bit output: Configuration data output bus
.PRDONE ( icap_prdone ) , //1-bit output: Indicates completion of Partial Reconfiguration
.PRERROR ( icap_prerror ) , //1-bit output: Indicates Error during Partial Reconfiguration
.CLK ( clk_100 ) , //1-bit input: Clock input
.CSIB ( icap_en_n2 ) , //1-bit input: Active-Low ICAP enable
.I ( icap_dt ) , //32-bit input: Configuration data inputbus
.RDWRB ( icap_rd_wr2 ) //1-bit input: Read/Write Select input 1:read 0:write
);

icape3 的使用

上图是ug570有的,可以知道发送的顺序,各个命令字的意思也清楚。notes是要注意的,这些命令字是需要改变顺序的,如提示page131,入下图,关系也是明确的。

icape3 的使用

到此,几乎可以做好了,但是还有一个关键步骤,需要通过什么样的时序将这些命令字,写入。在xilinx的网站找了很久没有找到,但是在百度里找到了参考链接 http://www.elecfans.com/emb/fpga/20140124334884_2.html , 里面就提到

一个周期拉低读或写,第二个时钟周期拉低使能,第三个时钟开始发送命令字和相应的参数。如果能够画一个波形可能更清晰了。

3:如果已将mcs文件烧录到flash中,此时再将工程2的bit文件通过jtag下载到FPGA中,可以发现没用多长的时间,led的显示状态是flash中的配置文件。

单独关于ICAPE3的使用到此结束。