uvm_comps.svh

时间:2023-03-10 00:31:07
uvm_comps.svh

  UVM的文件组织方式很有意思,比如,在src/comps/ 下的所有文件都通过uvm_comps.svh 包含进去。

 `include "comps/uvm_pair.svh"
`include "comps/uvm_policies.svh"
`include "comps/uvm_in_order_comparator.svh"
`include "comps/uvm_algorithmic_comparator.svh"
`include "comps/uvm_random_stimulus.svh"
`include "comps/uvm_subscriber.svh" `include "comps/uvm_monitor.svh"
`include "comps/uvm_driver.svh"
`include "comps/uvm_push_driver.svh"
`include "comps/uvm_scoreboard.svh"
`include "comps/uvm_agent.svh"
`include "comps/uvm_env.svh"
`include "comps/uvm_test.svh"